module counter (clock, led); input clock; output [7:0] led; reg [31:0] counter; always @(posedge clock) counter = counter+1; assign led = ~counter[31:24]; endmodule