`default_nettype none /////////////////////////////////////////////////////////////////////////////// // // 6.111 FPGA Labkit -- Template Toplevel Module // // For Labkit Revision 004 // Created: October 31, 2004, from revision 003 file // Author: Nathan Ickes, 6.111 staff // /////////////////////////////////////////////////////////////////////////////// module labkit( // Remove comment from any signals you use in your design! // AC97 /* output wire beep, audio_reset_b, ac97_synch, ac97_sdata_out, input wire ac97_bit_clock, ac97_sdata_in, */ // VGA /* output wire [7:0] vga_out_red, vga_out_green, vga_out_blue, output wire vga_out_sync_b, vga_out_blank_b, vga_out_pixel_clock, vga_out_hsync, vga_out_vsync, */ // NTSC OUT /* output wire [9:0] tv_out_ycrcb, output wire tv_out_reset_b, tv_out_clock, tv_out_i2c_clock, tv_out_i2c_data, output wire tv_out_pal_ntsc, tv_out_hsync_b, tv_out_vsync_b, tv_out_blank_b, output wire tv_out_subcar_reset; */ // NTSC IN /* input wire [19:0] tv_in_ycrcb, input wire tv_in_data_valid, tv_in_line_clock1, tv_in_line_clock2, tv_in_aef, tv_in_hff, tv_in_aff, output wire tv_in_i2c_clock, tv_in_fifo_read, tv_in_fifo_clock, tv_in_iso, tv_in_reset_b, tv_in_clock, inout wire tv_in_i2c_data, */ // ZBT RAMS /* inout wire [35:0] ram0_data, output wire [18:0] ram0_address, output wire ram0_adv_ld, ram0_clk, ram0_cen_b, ram0_ce_b, ram0_oe_b, ram0_we_b, output wire [3:0] ram0_bwe_b, inout wire [35:0]ram1_data, output wire [18:0]ram1_address, output wire ram1_adv_ld, ram1_clk, ram1_cen_b, ram1_ce_b, ram1_oe_b, ram1_we_b, output wire [3:0] ram1_bwe_b, input wire clock_feedback_in, output wire clock_feedback_out, */ // FLASH /* inout wire [15:0] flash_data, output wire [23:0] flash_address, output wire flash_ce_b, flash_oe_b, flash_we_b, flash_reset_b, flash_byte_b, input wire flash_sts, */ // RS232 /* output wire rs232_txd, rs232_rts, input wire rs232_rxd, rs232_cts, */ // PS2 //input wire mouse_clock, mouse_data, //input wire keyboard_clock, keyboard_data, // FLUORESCENT DISPLAY /* output wire disp_blank, disp_clock, disp_rs, disp_ce_b, disp_reset_b, input wire disp_data_in, output wire disp_data_out, */ // SYSTEM ACE /* inout wire [15:0] systemace_data, output wire [6:0] systemace_address, output wire systemace_ce_b, systemace_we_b, systemace_oe_b, input wire systemace_irq, systemace_mpbrdy, */ // BUTTONS, SWITCHES, LEDS //input wire button0, //input wire button1, //input wire button2, //input wire button3, //input wire button_enter, //input wire button_right, //input wire button_left, //input wire button_down, //input wire button_up, //input wire [7:0] switch, //output wire [7:0] led, // USER CONNECTORS, DAUGHTER CARD, LOGIC ANALYZER //inout wire [31:0] user1, //inout wire [31:0] user2, //inout wire [31:0] user3, //inout wire [31:0] user4, //inout wire [43:0] daughtercard, //output wire [15:0] analyzer1_data, output wire analyzer1_clock, //output wire [15:0] analyzer2_data, output wire analyzer2_clock, //output wire [15:0] analyzer3_data, output wire analyzer3_clock, //output wire [15:0] analyzer4_data, output wire analyzer4_clock, // CLOCKS //input wire clock1, //input wire clock2, input wire clock_27mhz ); //////////////////////////////////////////////////////////////////////////// // // Reset Generation // // A shift register primitive is used to generate an active-high reset // signal that remains high for 16 clock cycles after configuration finishes // and the FPGA's internal clocks begin toggling. // //////////////////////////////////////////////////////////////////////////// wire reset; SRL16 reset_sr(.D(1'b0), .CLK(clock_27mhz), .Q(reset), .A0(1'b1), .A1(1'b1), .A2(1'b1), .A3(1'b1)); defparam reset_sr.INIT = 16'hFFFF; endmodule