ASSEMBLY LISTING OF SEGMENT >spec>on>pl128d>lang_util_.alm ASSEMBLED ON: 10/03/83 1348.8 mst Mon OPTIONS USED: list ASSEMBLED BY: ALM Version 6.6 November 1982 ASSEMBLER CREATED: 09/21/83 1227.3 mst Wed  1 " ***********************************************************  2 " * *  3 " * Copyright, (C) Honeywell Information Systems Inc., 1982 *  4 " * *  5 " * Copyright (c) 1972 by Massachusetts Institute of *  6 " * Technology and Honeywell Information Systems, Inc. *  7 " * *  8 " ***********************************************************  9  10 "  11 macro utility_entry  1 12 entry &2  2 13 "  3 14 &2: 4 15 tra &1$&2  5 16 &end  17  18 " entry vector for bound_lang_util_ 19 "  000000 20 name lang_util_  21 "  22 utility_entry create_array,create_array  000000 entry create_array "  000000 create_array:  000000 4a 4 00010 7101 20 tra create_array$create_array  23 utility_entry create_block,create_block  000001 entry create_block "  000001 create_block:  000001 4a 4 00012 7101 20 tra create_block$create_block  24 utility_entry create_bound,create_bound  000002 entry create_bound "  000002 create_bound:  000002 4a 4 00014 7101 20 tra create_bound$create_bound  25 utility_entry create_context,create_context  000003 entry create_context  "  000003 create_context: 000003 4a 4 00016 7101 20 tra create_context$create_context  26 utility_entry create_cross_reference,create_cross_reference  000004 entry create_cross_reference  "  000004 create_cross_reference: 000004 4a 4 00020 7101 20 tra create_cross_reference$create_cross_reference  27 utility_entry create_default,create_default  000005 entry create_default  "  000005 create_default: 000005 4a 4 00022 7101 20 tra create_default$create_default  28 utility_entry create_label,create_label  000006 entry create_label "  000006 create_label:  000006 4a 4 00024 7101 20 tra create_label$create_label  29 utility_entry create_symbol,create_symbol  000007 entry create_symbol  "  000007 create_symbol:  000007 4a 4 00026 7101 20 tra create_symbol$create_symbol  30 utility_entry create_token,create_token  000010 entry create_token "  000010 create_token:  000010 4a 4 00030 7101 20 tra create_token$create_token  31 utility_entry create_token,init_hash_table 000011 entry init_hash_table  "  000011 init_hash_table:  000011 4a 4 00032 7101 20 tra create_token$init_hash_table  32 utility_entry create_token,protected  000012 entry protected  "  000012 protected:  000012 4a 4 00034 7101 20 tra create_token$protected 33 utility_entry create_identifier,create_identifier  000013 entry create_identifier  "  000013 create_identifier:  000013 4a 4 00036 7101 20 tra create_identifier$create_identifier  34 utility_entry bindec,bindec  000014 entry bindec  "  000014 bindec: 000014 4a 4 00040 7101 20 tra bindec$bindec  35 utility_entry binoct,binoct  000015 entry binoct  "  000015 binoct: 000015 4a 4 00042 7101 20 tra binoct$binoct  36 utility_entry bindec,vs  000016 entry vs  "  000016 vs: 000016 4a 4 00044 7101 20 tra bindec$vs  37 utility_entry token_to_binary,token_to_binary  000017 entry token_to_binary  "  000017 token_to_binary:  000017 4a 4 00046 7101 20 tra token_to_binary$token_to_binary  38 utility_entry create_list,create_list  000020 entry create_list  "  000020 create_list:  000020 4a 4 00050 7101 20 tra create_list$create_list  39 utility_entry create_operator,create_operator  000021 entry create_operator  "  000021 create_operator:  000021 4a 4 00052 7101 20 tra create_operator$create_operator  40 utility_entry create_reference,create_reference  000022 entry create_reference "  000022 create_reference:  000022 4a 4 00054 7101 20 tra create_reference$create_reference  41 utility_entry create_statement,create_statement  000023 entry create_statement "  000023 create_statement:  000023 4a 4 00056 7101 20 tra create_statement$create_statement  42 utility_entry create_storage,create_storage  000024 entry create_storage  "  000024 create_storage: 000024 4a 4 00060 7101 20 tra create_storage$create_storage  43 utility_entry create_statement,prologue  000025 entry prologue "  000025 prologue:  000025 4a 4 00062 7101 20 tra create_statement$prologue  44 utility_entry tree_manager,init  000026 entry init "  000026 init:  000026 4a 4 00064 7101 20 tra tree_manager$init  45 utility_entry tree_manager,truncate  000027 entry truncate "  000027 truncate:  000027 4a 4 00066 7101 20 tra tree_manager$truncate  46 utility_entry free_node,free_node  000030 entry free_node  "  000030 free_node:  000030 4a 4 00070 7101 20 tra free_node$free_node  47 utility_entry get_size,get_size  000031 entry get_size "  000031 get_size:  000031 4a 4 00072 7101 20 tra get_size$get_size  48 utility_entry refer_extent,refer_extent  000032 entry refer_extent "  000032 refer_extent:  000032 4a 4 00074 7101 20 tra refer_extent$refer_extent  49 utility_entry declare_constant,declare_constant  000033 entry declare_constant "  000033 declare_constant:  000033 4a 4 00076 7101 20 tra declare_constant$declare_constant  50 utility_entry declare_constant,integer 000034 entry integer  "  000034 integer:  000034 4a 4 00100 7101 20 tra declare_constant$integer  51 utility_entry declare_constant,desc  000035 entry desc "  000035 desc:  000035 4a 4 00102 7101 20 tra declare_constant$desc  52 utility_entry declare_constant,bit 000036 entry bit  "  000036 bit:  000036 4a 4 00104 7101 20 tra declare_constant$bit  53 utility_entry declare_constant,char  000037 entry char "  000037 char:  000037 4a 4 00106 7101 20 tra declare_constant$char  54 utility_entry declare_descriptor,declare_descriptor  000040 entry declare_descriptor  "  000040 declare_descriptor: 000040 4a 4 00110 7101 20 tra declare_descriptor$declare_descriptor  55 utility_entry declare_descriptor,ctl  000041 entry ctl  "  000041 ctl:  000041 4a 4 00112 7101 20 tra declare_descriptor$ctl 56 utility_entry declare_descriptor,param 000042 entry param  "  000042 param:  000042 4a 4 00114 7101 20 tra declare_descriptor$param  57 utility_entry declare_integer,declare_integer  000043 entry declare_integer  "  000043 declare_integer:  000043 4a 4 00116 7101 20 tra declare_integer$declare_integer  58 utility_entry declare_picture,declare_picture  000044 entry declare_picture  "  000044 declare_picture:  000044 4a 4 00120 7101 20 tra declare_picture$declare_picture  59 utility_entry declare_pointer,declare_pointer  000045 entry declare_pointer  "  000045 declare_pointer:  000045 4a 4 00122 7101 20 tra declare_pointer$declare_pointer  60 utility_entry declare_temporary,declare_temporary  000046 entry declare_temporary  "  000046 declare_temporary:  000046 4a 4 00124 7101 20 tra declare_temporary$declare_temporary  61 utility_entry optimizer,optimizer  000047 entry optimizer  "  000047 optimizer:  000047 4a 4 00126 7101 20 tra optimizer$optimizer  62 utility_entry compare_expression,compare_expression  000050 entry compare_expression  "  000050 compare_expression: 000050 4a 4 00130 7101 20 tra compare_expression$compare_expression  63 utility_entry copy_expression,copy_expression  000051 entry copy_expression  "  000051 copy_expression:  000051 4a 4 00132 7101 20 tra copy_expression$copy_expression  64 utility_entry copy_expression,copy_sons  000052 entry copy_sons  "  000052 copy_sons:  000052 4a 4 00134 7101 20 tra copy_expression$copy_sons  65 utility_entry reserve,declare_lib  000053 entry declare_lib  "  000053 declare_lib:  000053 4a 4 00136 7101 20 tra reserve$declare_lib  66 utility_entry reserve,clear  000054 entry clear  "  000054 clear:  000054 4a 4 00140 7101 20 tra reserve$clear  67 utility_entry reserve,read_lib 000055 entry read_lib "  000055 read_lib:  000055 4a 4 00142 7101 20 tra reserve$read_lib  68 utility_entry reserve,rename_parse 000056 entry rename_parse "  000056 rename_parse:  000056 4a 4 00144 7101 20 tra reserve$rename_parse  69 utility_entry convert,convert  000057 entry convert  "  000057 convert:  000057 4a 4 00146 7101 20 tra convert$convert  70 utility_entry convert,from_builtin 000060 entry from_builtin "  000060 from_builtin:  000060 4a 4 00150 7101 20 tra convert$from_builtin  71 utility_entry convert,validate 000061 entry validate "  000061 validate:  000061 4a 4 00152 7101 20 tra convert$validate  72 utility_entry convert,to_integer  000062 entry to_integer  "  000062 to_integer: 000062 4a 4 00154 7101 20 tra convert$to_integer 73 utility_entry convert,to_target_fb 000063 entry to_target_fb "  000063 to_target_fb:  000063 4a 4 00156 7101 20 tra convert$to_target_fb  74 utility_entry convert,to_target  000064 entry to_target  "  000064 to_target:  000064 4a 4 00160 7101 20 tra convert$to_target  75 utility_entry share_expression,share_expression  000065 entry share_expression "  000065 share_expression:  000065 4a 4 00162 7101 20 tra share_expression$share_expression  76 utility_entry decbin,decbin  000066 entry decbin  "  000066 decbin: 000066 4a 4 00164 7101 20 tra decbin$decbin  77 utility_entry parse_error,parse_error  000067 entry parse_error  "  000067 parse_error:  000067 4a 4 00166 7101 20 tra parse_error$parse_error  78 utility_entry decode_node_id,decode_node_id  000070 entry decode_node_id  "  000070 decode_node_id: 000070 4a 4 00170 7101 20 tra decode_node_id$decode_node_id  79 utility_entry decode_source_id,decode_source_id  000071 entry decode_source_id "  000071 decode_source_id:  000071 4a 4 00172 7101 20 tra decode_source_id$decode_source_id  80 utility_entry error,error  000072 entry error  "  000072 error:  000072 4a 4 00174 7101 20 tra error$error  81 utility_entry error_,error_  000073 entry error_  "  000073 error_: 000073 4a 4 00176 7101 20 tra error_$error_  82 utility_entry error_,no_text  000074 entry no_text  "  000074 no_text:  000074 4a 4 00200 7101 20 tra error_$no_text 83 utility_entry error_,finish  000075 entry finish  "  000075 finish: 000075 4a 4 00202 7101 20 tra error_$finish  84 utility_entry error_,initialize_error  000076 entry initialize_error "  000076 initialize_error:  000076 4a 4 00204 7101 20 tra error_$initialize_error  85 utility_entry pl1_print,varying  000077 entry varying  "  000077 varying:  000077 4a 4 00206 7101 20 tra pl1_print$varying  86 utility_entry pl1_print,varying_nl 000100 entry varying_nl  "  000100 varying_nl: 000100 4a 4 00210 7101 20 tra pl1_print$varying_nl  87 utility_entry pl1_print,non_varying  000101 entry non_varying  "  000101 non_varying:  000101 4a 4 00212 7101 20 tra pl1_print$non_varying  88 utility_entry pl1_print,non_varying_nl 000102 entry non_varying_nl  "  000102 non_varying_nl: 000102 4a 4 00214 7101 20 tra pl1_print$non_varying_nl  89 utility_entry pl1_print,string_ptr 000103 entry string_ptr  "  000103 string_ptr: 000103 4a 4 00216 7101 20 tra pl1_print$string_ptr  90 utility_entry pl1_print,string_ptr_nl  000104 entry string_ptr_nl  "  000104 string_ptr_nl:  000104 4a 4 00220 7101 20 tra pl1_print$string_ptr_nl  91 utility_entry pl1_print,for_lex  000105 entry for_lex  "  000105 for_lex:  000105 4a 4 00222 7101 20 tra pl1_print$for_lex  92 utility_entry pl1_print,unaligned_nl  000106 entry unaligned_nl "  000106 unaligned_nl:  000106 4a 4 00224 7101 20 tra pl1_print$unaligned_nl 93 utility_entry merge_attributes,merge_attributes  000107 entry merge_attributes "  000107 merge_attributes:  000107 4a 4 00226 7101 20 tra merge_attributes$merge_attributes  94 utility_entry display_pl1_map,display_pl1_map  000110 entry display_pl1_map  "  000110 display_pl1_map:  000110 4a 4 00230 7101 20 tra display_pl1_map$display_pl1_map  95 utility_entry display_pl1_text,display_pl1_text  000111 entry display_pl1_text "  000111 display_pl1_text:  000111 4a 4 00232 7101 20 tra display_pl1_text$display_pl1_text  96 utility_entry sym_sort_alphabetic,by_size  000112 entry by_size  "  000112 by_size:  000112 4a 4 00234 7101 20 tra sym_sort_alphabetic$by_size  97 utility_entry compile_formats,compile_formats  000113 entry compile_formats  "  000113 compile_formats:  000113 4a 4 00236 7101 20 tra compile_formats$compile_formats  98 utility_entry assign_storage,assign_storage  000114 entry assign_storage  "  000114 assign_storage: 000114 4a 4 00240 7101 20 tra assign_storage$assign_storage  99 utility_entry compile_link,compile_link  000115 entry compile_link "  000115 compile_link:  000115 4a 4 00242 7101 20 tra compile_link$compile_link  100 utility_entry gen_pl1_linkage,gen_pl1_linkage  000116 entry gen_pl1_linkage  "  000116 gen_pl1_linkage:  000116 4a 4 00244 7101 20 tra gen_pl1_linkage$gen_pl1_linkage  101 utility_entry gen_pl1_linkage,init_linkage 000117 entry init_linkage "  000117 init_linkage:  000117 4a 4 00246 7101 20 tra gen_pl1_linkage$init_linkage  102 utility_entry gen_pl1_symbol,beg_symbol  000120 entry beg_symbol  "  000120 beg_symbol: 000120 4a 4 00250 7101 20 tra gen_pl1_symbol$beg_symbol  103 utility_entry gen_pl1_symbol,end_symbol  000121 entry end_symbol  "  000121 end_symbol: 000121 4a 4 00252 7101 20 tra gen_pl1_symbol$end_symbol  104 utility_entry generate_definition,generate_definition  000122 entry generate_definition  "  000122 generate_definition:  000122 4a 4 00254 7101 20 tra generate_definition$generate_definition  105 utility_entry pl1_signal_catcher,pl1_signal_catcher  000123 entry pl1_signal_catcher  "  000123 pl1_signal_catcher: 000123 4a 4 00256 7101 20 tra pl1_signal_catcher$pl1_signal_catcher  106 utility_entry sym_sort_alphabetic,sym_sort_alphabetic  000124 entry sym_sort_alphabetic  "  000124 sym_sort_alphabetic:  000124 4a 4 00260 7101 20 tra sym_sort_alphabetic$sym_sort_alphabetic  107 utility_entry declare_picture_temp,declare_picture_temp  000125 entry declare_picture_temp "  000125 declare_picture_temp:  000125 4a 4 00262 7101 20 tra declare_picture_temp$declare_picture_temp  108 utility_entry copy_unique_expression,copy_unique_expression  000126 entry copy_unique_expression  "  000126 copy_unique_expression: 000126 4a 4 00264 7101 20 tra copy_unique_expression$copy_unique_expression  109 "  110 " The following entry doesn't fit the macro...  111 "  000127 112 entry mst  000127 113 mst:  000127 4a 4 00266 7101 20 114 tra mst$block_nodes  115 "  116 end  ENTRY SEQUENCES  000130 5a 001076 0000 00 000131 aa 7 00046 2721 20 000132 0a 000000 7100 00 000133 5a 001067 0000 00 000134 aa 7 00046 2721 20 000135 0a 000001 7100 00 000136 5a 001060 0000 00 000137 aa 7 00046 2721 20 000140 0a 000002 7100 00 000141 5a 001051 0000 00 000142 aa 7 00046 2721 20 000143 0a 000003 7100 00 000144 5a 001040 0000 00 000145 aa 7 00046 2721 20 000146 0a 000004 7100 00 000147 5a 001031 0000 00 000150 aa 7 00046 2721 20 000151 0a 000005 7100 00 000152 5a 001022 0000 00 000153 aa 7 00046 2721 20 000154 0a 000006 7100 00 000155 5a 001013 0000 00 000156 aa 7 00046 2721 20 000157 0a 000007 7100 00 000160 5a 001004 0000 00 000161 aa 7 00046 2721 20 000162 0a 000010 7100 00 000163 5a 000775 0000 00 000164 aa 7 00046 2721 20 000165 0a 000011 7100 00 000166 5a 000767 0000 00 000167 aa 7 00046 2721 20 000170 0a 000012 7100 00 000171 5a 000757 0000 00 000172 aa 7 00046 2721 20 000173 0a 000013 7100 00 000174 5a 000752 0000 00 000175 aa 7 00046 2721 20 000176 0a 000014 7100 00 000177 5a 000745 0000 00 000200 aa 7 00046 2721 20 000201 0a 000015 7100 00 000202 5a 000741 0000 00 000203 aa 7 00046 2721 20 000204 0a 000016 7100 00 000205 5a 000732 0000 00 000206 aa 7 00046 2721 20 000207 0a 000017 7100 00 000210 5a 000724 0000 00 000211 aa 7 00046 2721 20 000212 0a 000020 7100 00 000213 5a 000715 0000 00 000214 aa 7 00046 2721 20 000215 0a 000021 7100 00 000216 5a 000705 0000 00 000217 aa 7 00046 2721 20 000220 0a 000022 7100 00 000221 5a 000675 0000 00 000222 aa 7 00046 2721 20 000223 0a 000023 7100 00 000224 5a 000666 0000 00 000225 aa 7 00046 2721 20 000226 0a 000024 7100 00 000227 5a 000660 0000 00 000230 aa 7 00046 2721 20 000231 0a 000025 7100 00 000232 5a 000653 0000 00 000233 aa 7 00046 2721 20 000234 0a 000026 7100 00 000235 5a 000645 0000 00 000236 aa 7 00046 2721 20 000237 0a 000027 7100 00 000240 5a 000637 0000 00 000241 aa 7 00046 2721 20 000242 0a 000030 7100 00 000243 5a 000631 0000 00 000244 aa 7 00046 2721 20 000245 0a 000031 7100 00 000246 5a 000622 0000 00 000247 aa 7 00046 2721 20 000250 0a 000032 7100 00 000251 5a 000612 0000 00 000252 aa 7 00046 2721 20 000253 0a 000033 7100 00 000254 5a 000605 0000 00 000255 aa 7 00046 2721 20 000256 0a 000034 7100 00 000257 5a 000600 0000 00 000260 aa 7 00046 2721 20 000261 0a 000035 7100 00 000262 5a 000574 0000 00 000263 aa 7 00046 2721 20 000264 0a 000036 7100 00 000265 5a 000567 0000 00 000266 aa 7 00046 2721 20 000267 0a 000037 7100 00 000270 5a 000557 0000 00 000271 aa 7 00046 2721 20 000272 0a 000040 7100 00 000273 5a 000553 0000 00 000274 aa 7 00046 2721 20 000275 0a 000041 7100 00 000276 5a 000546 0000 00 000277 aa 7 00046 2721 20 000300 0a 000042 7100 00 000301 5a 000537 0000 00 000302 aa 7 00046 2721 20 000303 0a 000043 7100 00 000304 5a 000530 0000 00 000305 aa 7 00046 2721 20 000306 0a 000044 7100 00 000307 5a 000521 0000 00 000310 aa 7 00046 2721 20 000311 0a 000045 7100 00 000312 5a 000511 0000 00 000313 aa 7 00046 2721 20 000314 0a 000046 7100 00 000315 5a 000503 0000 00 000316 aa 7 00046 2721 20 000317 0a 000047 7100 00 000320 5a 000473 0000 00 000321 aa 7 00046 2721 20 000322 0a 000050 7100 00 000323 5a 000464 0000 00 000324 aa 7 00046 2721 20 000325 0a 000051 7100 00 000326 5a 000456 0000 00 000327 aa 7 00046 2721 20 000330 0a 000052 7100 00 000331 5a 000450 0000 00 000332 aa 7 00046 2721 20 000333 0a 000053 7100 00 000334 5a 000443 0000 00 000335 aa 7 00046 2721 20 000336 0a 000054 7100 00 000337 5a 000435 0000 00 000340 aa 7 00046 2721 20 000341 0a 000055 7100 00 000342 5a 000426 0000 00 000343 aa 7 00046 2721 20 000344 0a 000056 7100 00 000345 5a 000421 0000 00 000346 aa 7 00046 2721 20 000347 0a 000057 7100 00 000350 5a 000412 0000 00 000351 aa 7 00046 2721 20 000352 0a 000060 7100 00 000353 5a 000404 0000 00 000354 aa 7 00046 2721 20 000355 0a 000061 7100 00 000356 5a 000376 0000 00 000357 aa 7 00046 2721 20 000360 0a 000062 7100 00 000361 5a 000367 0000 00 000362 aa 7 00046 2721 20 000363 0a 000063 7100 00 000364 5a 000361 0000 00 000365 aa 7 00046 2721 20 000366 0a 000064 7100 00 000367 5a 000351 0000 00 000370 aa 7 00046 2721 20 000371 0a 000065 7100 00 000372 5a 000344 0000 00 000373 aa 7 00046 2721 20 000374 0a 000066 7100 00 000375 5a 000336 0000 00 000376 aa 7 00046 2721 20 000377 0a 000067 7100 00 000400 5a 000327 0000 00 000401 aa 7 00046 2721 20 000402 0a 000070 7100 00 000403 5a 000317 0000 00 000404 aa 7 00046 2721 20 000405 0a 000071 7100 00 000406 5a 000312 0000 00 000407 aa 7 00046 2721 20 000410 0a 000072 7100 00 000411 5a 000305 0000 00 000412 aa 7 00046 2721 20 000413 0a 000073 7100 00 000414 5a 000300 0000 00 000415 aa 7 00046 2721 20 000416 0a 000074 7100 00 000417 5a 000273 0000 00 000420 aa 7 00046 2721 20 000421 0a 000075 7100 00 000422 5a 000263 0000 00 000423 aa 7 00046 2721 20 000424 0a 000076 7100 00 000425 5a 000256 0000 00 000426 aa 7 00046 2721 20 000427 0a 000077 7100 00 000430 5a 000250 0000 00 000431 aa 7 00046 2721 20 000432 0a 000100 7100 00 000433 5a 000242 0000 00 000434 aa 7 00046 2721 20 000435 0a 000101 7100 00 000436 5a 000233 0000 00 000437 aa 7 00046 2721 20 000440 0a 000102 7100 00 000441 5a 000225 0000 00 000442 aa 7 00046 2721 20 000443 0a 000103 7100 00 000444 5a 000216 0000 00 000445 aa 7 00046 2721 20 000446 0a 000104 7100 00 000447 5a 000211 0000 00 000450 aa 7 00046 2721 20 000451 0a 000105 7100 00 000452 5a 000202 0000 00 000453 aa 7 00046 2721 20 000454 0a 000106 7100 00 000455 5a 000172 0000 00 000456 aa 7 00046 2721 20 000457 0a 000107 7100 00 000460 5a 000163 0000 00 000461 aa 7 00046 2721 20 000462 0a 000110 7100 00 000463 5a 000153 0000 00 000464 aa 7 00046 2721 20 000465 0a 000111 7100 00 000466 5a 000146 0000 00 000467 aa 7 00046 2721 20 000470 0a 000112 7100 00 000471 5a 000137 0000 00 000472 aa 7 00046 2721 20 000473 0a 000113 7100 00 000474 5a 000130 0000 00 000475 aa 7 00046 2721 20 000476 0a 000114 7100 00 000477 5a 000121 0000 00 000500 aa 7 00046 2721 20 000501 0a 000115 7100 00 000502 5a 000112 0000 00 000503 aa 7 00046 2721 20 000504 0a 000116 7100 00 000505 5a 000103 0000 00 000506 aa 7 00046 2721 20 000507 0a 000117 7100 00 000510 5a 000075 0000 00 000511 aa 7 00046 2721 20 000512 0a 000120 7100 00 000513 5a 000067 0000 00 000514 aa 7 00046 2721 20 000515 0a 000121 7100 00 000516 5a 000057 0000 00 000517 aa 7 00046 2721 20 000520 0a 000122 7100 00 000521 5a 000047 0000 00 000522 aa 7 00046 2721 20 000523 0a 000123 7100 00 000524 5a 000037 0000 00 000525 aa 7 00046 2721 20 000526 0a 000124 7100 00 000527 5a 000026 0000 00 000530 aa 7 00046 2721 20 000531 0a 000125 7100 00 000532 5a 000015 0000 00 000533 aa 7 00046 2721 20 000534 0a 000126 7100 00 000535 5a 000011 0000 00 000536 aa 7 00046 2721 20 000537 0a 000127 7100 00 NO LITERALS  NAME DEFINITIONS FOR ENTRY POINTS AND SEGDEFS 000540 5a 000003 000000 000541 5a 001114 600000 000542 aa 000000 000000 000543 55 000011 000002 000544 5a 000002 400003 000545 55 000006 000011 000546 aa 012 154 141 156 000547 aa 147 137 165 164 000550 aa 151 154 137 000 000551 55 000015 000003 000552 0a 000536 500000 000553 55 000014 000003 000554 aa 003 155 163 164 mst 000555 55 000026 000011 000556 0a 000533 500000 000557 55 000020 000003 000560 aa 026 143 157 160 copy_unique_expression  000561 aa 171 137 165 156 000562 aa 151 161 165 145 000563 aa 137 145 170 160 000564 aa 162 145 163 163 000565 aa 151 157 156 000 000566 55 000037 000015 000567 0a 000530 500000 000570 55 000031 000003 000571 aa 024 144 145 143 declare_picture_temp  000572 aa 154 141 162 145 000573 aa 137 160 151 143 000574 aa 164 165 162 145 000575 aa 137 164 145 155 000576 aa 160 000 000 000 000577 55 000047 000026 000600 0a 000525 500000 000601 55 000042 000003 000602 aa 023 163 171 155 sym_sort_alphabetic 000603 aa 137 163 157 162 000604 aa 164 137 141 154 000605 aa 160 150 141 142 000606 aa 145 164 151 143 000607 55 000057 000037 000610 0a 000522 500000 000611 55 000052 000003 000612 aa 022 160 154 061 pl1_signal_catcher  000613 aa 137 163 151 147 000614 aa 156 141 154 137 000615 aa 143 141 164 143 000616 aa 150 145 162 000 000617 55 000067 000047 000620 0a 000517 500000 000621 55 000062 000003 000622 aa 023 147 145 156 generate_definition 000623 aa 145 162 141 164 000624 aa 145 137 144 145 000625 aa 146 151 156 151 000626 aa 164 151 157 156 000627 55 000075 000057 000630 0a 000514 500000 000631 55 000072 000003 000632 aa 012 145 156 144 end_symbol  000633 aa 137 163 171 155 000634 aa 142 157 154 000 000635 55 000103 000067 000636 0a 000511 500000 000637 55 000100 000003 000640 aa 012 142 145 147 beg_symbol  000641 aa 137 163 171 155 000642 aa 142 157 154 000 000643 55 000112 000075 000644 0a 000506 500000 000645 55 000106 000003 000646 aa 014 151 156 151 init_linkage  000647 aa 164 137 154 151 000650 aa 156 153 141 147 000651 aa 145 000 000 000 000652 55 000121 000103 000653 0a 000503 500000 000654 55 000115 000003 000655 aa 017 147 145 156 gen_pl1_linkage 000656 aa 137 160 154 061 000657 aa 137 154 151 156 000660 aa 153 141 147 145 000661 55 000130 000112 000662 0a 000500 500000 000663 55 000124 000003 000664 aa 014 143 157 155 compile_link  000665 aa 160 151 154 145 000666 aa 137 154 151 156 000667 aa 153 000 000 000 000670 55 000137 000121 000671 0a 000475 500000 000672 55 000133 000003 000673 aa 016 141 163 163 assign_storage  000674 aa 151 147 156 137 000675 aa 163 164 157 162 000676 aa 141 147 145 000 000677 55 000146 000130 000700 0a 000472 500000 000701 55 000142 000003 000702 aa 017 143 157 155 compile_formats 000703 aa 160 151 154 145 000704 aa 137 146 157 162 000705 aa 155 141 164 163 000706 55 000153 000137 000707 0a 000467 500000 000710 55 000151 000003 000711 aa 007 142 171 137 by_size 000712 aa 163 151 172 145 000713 55 000163 000146 000714 0a 000464 500000 000715 55 000156 000003 000716 aa 020 144 151 163 display_pl1_text  000717 aa 160 154 141 171 000720 aa 137 160 154 061 000721 aa 137 164 145 170 000722 aa 164 000 000 000 000723 55 000172 000153 000724 0a 000461 500000 000725 55 000166 000003 000726 aa 017 144 151 163 display_pl1_map 000727 aa 160 154 141 171 000730 aa 137 160 154 061 000731 aa 137 155 141 160 000732 55 000202 000163 000733 0a 000456 500000 000734 55 000175 000003 000735 aa 020 155 145 162 merge_attributes  000736 aa 147 145 137 141 000737 aa 164 164 162 151 000740 aa 142 165 164 145 000741 aa 163 000 000 000 000742 55 000211 000172 000743 0a 000453 500000 000744 55 000205 000003 000745 aa 014 165 156 141 unaligned_nl  000746 aa 154 151 147 156 000747 aa 145 144 137 156 000750 aa 154 000 000 000 000751 55 000216 000202 000752 0a 000450 500000 000753 55 000214 000003 000754 aa 007 146 157 162 for_lex 000755 aa 137 154 145 170 000756 55 000225 000211 000757 0a 000445 500000 000760 55 000221 000003 000761 aa 015 163 164 162 string_ptr_nl  000762 aa 151 156 147 137 000763 aa 160 164 162 137 000764 aa 156 154 000 000 000765 55 000233 000216 000766 0a 000442 500000 000767 55 000230 000003 000770 aa 012 163 164 162 string_ptr  000771 aa 151 156 147 137 000772 aa 160 164 162 000 000773 55 000242 000225 000774 0a 000437 500000 000775 55 000236 000003 000776 aa 016 156 157 156 non_varying_nl  000777 aa 137 166 141 162 001000 aa 171 151 156 147 001001 aa 137 156 154 000 001002 55 000250 000233 001003 0a 000434 500000 001004 55 000245 000003 001005 aa 013 156 157 156 non_varying 001006 aa 137 166 141 162 001007 aa 171 151 156 147 001010 55 000256 000242 001011 0a 000431 500000 001012 55 000253 000003 001013 aa 012 166 141 162 varying_nl  001014 aa 171 151 156 147 001015 aa 137 156 154 000 001016 55 000263 000250 001017 0a 000426 500000 001020 55 000261 000003 001021 aa 007 166 141 162 varying 001022 aa 171 151 156 147 001023 55 000273 000256 001024 0a 000423 500000 001025 55 000266 000003 001026 aa 020 151 156 151 initialize_error  001027 aa 164 151 141 154 001030 aa 151 172 145 137 001031 aa 145 162 162 157 001032 aa 162 000 000 000 001033 55 000300 000263 001034 0a 000420 500000 001035 55 000276 000003 001036 aa 006 146 151 156 finish  001037 aa 151 163 150 000 001040 55 000305 000273 001041 0a 000415 500000 001042 55 000303 000003 001043 aa 007 156 157 137 no_text 001044 aa 164 145 170 164 001045 55 000312 000300 001046 0a 000412 500000 001047 55 000310 000003 001050 aa 006 145 162 162 error_  001051 aa 157 162 137 000 001052 55 000317 000305 001053 0a 000407 500000 001054 55 000315 000003 001055 aa 005 145 162 162 error  001056 aa 157 162 000 000 001057 55 000327 000312 001060 0a 000404 500000 001061 55 000322 000003 001062 aa 020 144 145 143 decode_source_id  001063 aa 157 144 145 137 001064 aa 163 157 165 162 001065 aa 143 145 137 151 001066 aa 144 000 000 000 001067 55 000336 000317 001070 0a 000401 500000 001071 55 000332 000003 001072 aa 016 144 145 143 decode_node_id  001073 aa 157 144 145 137 001074 aa 156 157 144 145 001075 aa 137 151 144 000 001076 55 000344 000327 001077 0a 000376 500000 001100 55 000341 000003 001101 aa 013 160 141 162 parse_error 001102 aa 163 145 137 145 001103 aa 162 162 157 162 001104 55 000351 000336 001105 0a 000373 500000 001106 55 000347 000003 001107 aa 006 144 145 143 decbin  001110 aa 142 151 156 000 001111 55 000361 000344 001112 0a 000370 500000 001113 55 000354 000003 001114 aa 020 163 150 141 share_expression  001115 aa 162 145 137 145 001116 aa 170 160 162 145 001117 aa 163 163 151 157 001120 aa 156 000 000 000 001121 55 000367 000351 001122 0a 000365 500000 001123 55 000364 000003 001124 aa 011 164 157 137 to_target  001125 aa 164 141 162 147 001126 aa 145 164 000 000 001127 55 000376 000361 001130 0a 000362 500000 001131 55 000372 000003 001132 aa 014 164 157 137 to_target_fb  001133 aa 164 141 162 147 001134 aa 145 164 137 146 001135 aa 142 000 000 000 001136 55 000404 000367 001137 0a 000357 500000 001140 55 000401 000003 001141 aa 012 164 157 137 to_integer  001142 aa 151 156 164 145 001143 aa 147 145 162 000 001144 55 000412 000376 001145 0a 000354 500000 001146 55 000407 000003 001147 aa 010 166 141 154 validate  001150 aa 151 144 141 164 001151 aa 145 000 000 000 001152 55 000421 000404 001153 0a 000351 500000 001154 55 000415 000003 001155 aa 014 146 162 157 from_builtin  001156 aa 155 137 142 165 001157 aa 151 154 164 151 001160 aa 156 000 000 000 001161 55 000426 000412 001162 0a 000346 500000 001163 55 000424 000003 001164 aa 007 143 157 156 convert 001165 aa 166 145 162 164 001166 55 000435 000421 001167 0a 000343 500000 001170 55 000431 000003 001171 aa 014 162 145 156 rename_parse  001172 aa 141 155 145 137 001173 aa 160 141 162 163 001174 aa 145 000 000 000 001175 55 000443 000426 001176 0a 000340 500000 001177 55 000440 000003 001200 aa 010 162 145 141 read_lib  001201 aa 144 137 154 151 001202 aa 142 000 000 000 001203 55 000450 000435 001204 0a 000335 500000 001205 55 000446 000003 001206 aa 005 143 154 145 clear  001207 aa 141 162 000 000 001210 55 000456 000443 001211 0a 000332 500000 001212 55 000453 000003 001213 aa 013 144 145 143 declare_lib 001214 aa 154 141 162 145 001215 aa 137 154 151 142 001216 55 000464 000450 001217 0a 000327 500000 001220 55 000461 000003 001221 aa 011 143 157 160 copy_sons  001222 aa 171 137 163 157 001223 aa 156 163 000 000 001224 55 000473 000456 001225 0a 000324 500000 001226 55 000467 000003 001227 aa 017 143 157 160 copy_expression 001230 aa 171 137 145 170 001231 aa 160 162 145 163 001232 aa 163 151 157 156 001233 55 000503 000464 001234 0a 000321 500000 001235 55 000476 000003 001236 aa 022 143 157 155 compare_expression  001237 aa 160 141 162 145 001240 aa 137 145 170 160 001241 aa 162 145 163 163 001242 aa 151 157 156 000 001243 55 000511 000473 001244 0a 000316 500000 001245 55 000506 000003 001246 aa 011 157 160 164 optimizer  001247 aa 151 155 151 172 001250 aa 145 162 000 000 001251 55 000521 000503 001252 0a 000313 500000 001253 55 000514 000003 001254 aa 021 144 145 143 declare_temporary  001255 aa 154 141 162 145 001256 aa 137 164 145 155 001257 aa 160 157 162 141 001260 aa 162 171 000 000 001261 55 000530 000511 001262 0a 000310 500000 001263 55 000524 000003 001264 aa 017 144 145 143 declare_pointer 001265 aa 154 141 162 145 001266 aa 137 160 157 151 001267 aa 156 164 145 162 001270 55 000537 000521 001271 0a 000305 500000 001272 55 000533 000003 001273 aa 017 144 145 143 declare_picture 001274 aa 154 141 162 145 001275 aa 137 160 151 143 001276 aa 164 165 162 145 001277 55 000546 000530 001300 0a 000302 500000 001301 55 000542 000003 001302 aa 017 144 145 143 declare_integer 001303 aa 154 141 162 145 001304 aa 137 151 156 164 001305 aa 145 147 145 162 001306 55 000553 000537 001307 0a 000277 500000 001310 55 000551 000003 001311 aa 005 160 141 162 param  001312 aa 141 155 000 000 001313 55 000557 000546 001314 0a 000274 500000 001315 55 000556 000003 001316 aa 003 143 164 154 ctl 001317 55 000567 000553 001320 0a 000271 500000 001321 55 000562 000003 001322 aa 022 144 145 143 declare_descriptor  001323 aa 154 141 162 145 001324 aa 137 144 145 163 001325 aa 143 162 151 160 001326 aa 164 157 162 000 001327 55 000574 000557 001330 0a 000266 500000 001331 55 000572 000003 001332 aa 004 143 150 141 char  001333 aa 162 000 000 000 001334 55 000600 000567 001335 0a 000263 500000 001336 55 000577 000003 001337 aa 003 142 151 164 bit 001340 55 000605 000574 001341 0a 000260 500000 001342 55 000603 000003 001343 aa 004 144 145 163 desc  001344 aa 143 000 000 000 001345 55 000612 000600 001346 0a 000255 500000 001347 55 000610 000003 001350 aa 007 151 156 164 integer 001351 aa 145 147 145 162 001352 55 000622 000605 001353 0a 000252 500000 001354 55 000615 000003 001355 aa 020 144 145 143 declare_constant  001356 aa 154 141 162 145 001357 aa 137 143 157 156 001360 aa 163 164 141 156 001361 aa 164 000 000 000 001362 55 000631 000612 001363 0a 000247 500000 001364 55 000625 000003 001365 aa 014 162 145 146 refer_extent  001366 aa 145 162 137 145 001367 aa 170 164 145 156 001370 aa 164 000 000 000 001371 55 000637 000622 001372 0a 000244 500000 001373 55 000634 000003 001374 aa 010 147 145 164 get_size  001375 aa 137 163 151 172 001376 aa 145 000 000 000 001377 55 000645 000631 001400 0a 000241 500000 001401 55 000642 000003 001402 aa 011 146 162 145 free_node  001403 aa 145 137 156 157 001404 aa 144 145 000 000 001405 55 000653 000637 001406 0a 000236 500000 001407 55 000650 000003 001410 aa 010 164 162 165 truncate  001411 aa 156 143 141 164 001412 aa 145 000 000 000 001413 55 000660 000645 001414 0a 000233 500000 001415 55 000656 000003 001416 aa 004 151 156 151 init  001417 aa 164 000 000 000 001420 55 000666 000653 001421 0a 000230 500000 001422 55 000663 000003 001423 aa 010 160 162 157 prologue  001424 aa 154 157 147 165 001425 aa 145 000 000 000 001426 55 000675 000660 001427 0a 000225 500000 001430 55 000671 000003 001431 aa 016 143 162 145 create_storage  001432 aa 141 164 145 137 001433 aa 163 164 157 162 001434 aa 141 147 145 000 001435 55 000705 000666 001436 0a 000222 500000 001437 55 000700 000003 001440 aa 020 143 162 145 create_statement  001441 aa 141 164 145 137 001442 aa 163 164 141 164 001443 aa 145 155 145 156 001444 aa 164 000 000 000 001445 55 000715 000675 001446 0a 000217 500000 001447 55 000710 000003 001450 aa 020 143 162 145 create_reference  001451 aa 141 164 145 137 001452 aa 162 145 146 145 001453 aa 162 145 156 143 001454 aa 145 000 000 000 001455 55 000724 000705 001456 0a 000214 500000 001457 55 000720 000003 001460 aa 017 143 162 145 create_operator 001461 aa 141 164 145 137 001462 aa 157 160 145 162 001463 aa 141 164 157 162 001464 55 000732 000715 001465 0a 000211 500000 001466 55 000727 000003 001467 aa 013 143 162 145 create_list 001470 aa 141 164 145 137 001471 aa 154 151 163 164 001472 55 000741 000724 001473 0a 000206 500000 001474 55 000735 000003 001475 aa 017 164 157 153 token_to_binary 001476 aa 145 156 137 164 001477 aa 157 137 142 151 001500 aa 156 141 162 171 001501 55 000745 000732 001502 0a 000203 500000 001503 55 000744 000003 001504 aa 002 166 163 000 vs  001505 55 000752 000741 001506 0a 000200 500000 001507 55 000750 000003 001510 aa 006 142 151 156 binoct  001511 aa 157 143 164 000 001512 55 000757 000745 001513 0a 000175 500000 001514 55 000755 000003 001515 aa 006 142 151 156 bindec  001516 aa 144 145 143 000 001517 55 000767 000752 001520 0a 000172 500000 001521 55 000762 000003 001522 aa 021 143 162 145 create_identifier  001523 aa 141 164 145 137 001524 aa 151 144 145 156 001525 aa 164 151 146 151 001526 aa 145 162 000 000 001527 55 000775 000757 001530 0a 000167 500000 001531 55 000772 000003 001532 aa 011 160 162 157 protected  001533 aa 164 145 143 164 001534 aa 145 144 000 000 001535 55 001004 000767 001536 0a 000164 500000 001537 55 001000 000003 001540 aa 017 151 156 151 init_hash_table 001541 aa 164 137 150 141 001542 aa 163 150 137 164 001543 aa 141 142 154 145 001544 55 001013 000775 001545 0a 000161 500000 001546 55 001007 000003 001547 aa 014 143 162 145 create_token  001550 aa 141 164 145 137 001551 aa 164 157 153 145 001552 aa 156 000 000 000 001553 55 001022 001004 001554 0a 000156 500000 001555 55 001016 000003 001556 aa 015 143 162 145 create_symbol  001557 aa 141 164 145 137 001560 aa 163 171 155 142 001561 aa 157 154 000 000 001562 55 001031 001013 001563 0a 000153 500000 001564 55 001025 000003 001565 aa 014 143 162 145 create_label  001566 aa 141 164 145 137 001567 aa 154 141 142 145 001570 aa 154 000 000 000 001571 55 001040 001022 001572 0a 000150 500000 001573 55 001034 000003 001574 aa 016 143 162 145 create_default  001575 aa 141 164 145 137 001576 aa 144 145 146 141 001577 aa 165 154 164 000 001600 55 001051 001031 001601 0a 000145 500000 001602 55 001043 000003 001603 aa 026 143 162 145 create_cross_reference  001604 aa 141 164 145 137 001605 aa 143 162 157 163 001606 aa 163 137 162 145 001607 aa 146 145 162 145 001610 aa 156 143 145 000 001611 55 001060 001040 001612 0a 000142 500000 001613 55 001054 000003 001614 aa 016 143 162 145 create_context  001615 aa 141 164 145 137 001616 aa 143 157 156 164 001617 aa 145 170 164 000 001620 55 001067 001051 001621 0a 000137 500000 001622 55 001063 000003 001623 aa 014 143 162 145 create_bound  001624 aa 141 164 145 137 001625 aa 142 157 165 156 001626 aa 144 000 000 000 001627 55 001076 001060 001630 0a 000134 500000 001631 55 001072 000003 001632 aa 014 143 162 145 create_block  001633 aa 141 164 145 137 001634 aa 142 154 157 143 001635 aa 153 000 000 000 001636 55 001105 001067 001637 0a 000131 500000 001640 55 001101 000003 001641 aa 014 143 162 145 create_array  001642 aa 141 164 145 137 001643 aa 141 162 162 141 001644 aa 171 000 000 000 001645 55 000002 001076 001646 6a 000000 400002 001647 55 001110 000003 001650 aa 014 163 171 155 symbol_table  001651 aa 142 157 154 137 001652 aa 164 141 142 154 001653 aa 145 000 000 000 DEFINITIONS HASH TABLE  001654 aa 000000 000235 001655 aa 000000 000000 001656 aa 000000 000000 001657 aa 000000 000000 001660 aa 000000 000000 001661 aa 000000 000000 001662 aa 000000 000000 001663 aa 000000 000000 001664 aa 000000 000000 001665 aa 000000 000000 001666 aa 000000 000000 001667 aa 000000 000000 001670 aa 000000 000000 001671 aa 000000 000000 001672 aa 000000 000000 001673 aa 000000 000000 001674 aa 000000 000000 001675 5a 000336 000000 001676 aa 000000 000000 001677 aa 000000 000000 001700 aa 000000 000000 001701 5a 001040 000000 001702 aa 000000 000000 001703 aa 000000 000000 001704 aa 000000 000000 001705 aa 000000 000000 001706 5a 000216 000000 001707 5a 000130 000000 001710 5a 000273 000000 001711 5a 000546 000000 001712 aa 000000 000000 001713 5a 000075 000000 001714 5a 000225 000000 001715 5a 000675 000000 001716 5a 000705 000000 001717 5a 000715 000000 001720 5a 000757 000000 001721 5a 000666 000000 001722 5a 001031 000000 001723 5a 001013 000000 001724 5a 001051 000000 001725 5a 001004 000000 001726 5a 001022 000000 001727 5a 000724 000000 001730 5a 000300 000000 001731 5a 000233 000000 001732 5a 001060 000000 001733 5a 001067 000000 001734 5a 001076 000000 001735 aa 000000 000000 001736 aa 000000 000000 001737 5a 000242 000000 001740 aa 000000 000000 001741 5a 000745 000000 001742 5a 000752 000000 001743 5a 000404 000000 001744 5a 000503 000000 001745 5a 000037 000000 001746 5a 000250 000000 001747 aa 000000 000000 001750 aa 000000 000000 001751 aa 000000 000000 001752 aa 000000 000000 001753 5a 000256 000000 001754 5a 000146 000000 001755 5a 000574 000000 001756 5a 000015 000000 001757 5a 000637 000000 001760 5a 000263 000000 001761 5a 000645 000000 001762 5a 000412 000000 001763 5a 000473 000000 001764 5a 000026 000000 001765 5a 000367 000000 001766 5a 000741 000000 001767 5a 000047 000000 001770 5a 000103 000000 001771 5a 000137 000000 001772 5a 000361 000000 001773 5a 000376 000000 001774 5a 000317 000000 001775 5a 000435 000000 001776 5a 000464 000000 001777 5a 000121 000000 002000 5a 000327 000000 002001 5a 000426 000000 002002 5a 000511 000000 002003 5a 000521 000000 002004 5a 000530 000000 002005 5a 000537 000000 002006 5a 000450 000000 002007 5a 000557 000000 002010 5a 000456 000000 002011 5a 000612 000000 002012 5a 000421 000000 002013 5a 000622 000000 002014 5a 000653 000000 002015 5a 000605 000000 002016 5a 000660 000000 002017 5a 000732 000000 002020 5a 000344 000000 002021 5a 000767 000000 002022 5a 000067 000000 002023 5a 000153 000000 002024 5a 000057 000000 002025 5a 000163 000000 002026 5a 000775 000000 002027 5a 001105 000000 002030 aa 000000 000000 002031 aa 000000 000000 002032 aa 000000 000000 002033 aa 000000 000000 002034 5a 000112 000000 002035 aa 000000 000000 002036 5a 000567 000000 002037 aa 000000 000000 002040 aa 000000 000000 002041 5a 000211 000000 002042 aa 000000 000000 002043 aa 000000 000000 002044 5a 000600 000000 002045 aa 000000 000000 002046 aa 000000 000000 002047 5a 000443 000000 002050 aa 000000 000000 002051 aa 000000 000000 002052 5a 000011 000000 002053 aa 000000 000000 002054 aa 000000 000000 002055 aa 000000 000000 002056 aa 000000 000000 002057 5a 000305 000000 002060 5a 000631 000000 002061 5a 000312 000000 002062 aa 000000 000000 002063 aa 000000 000000 002064 aa 000000 000000 002065 aa 000000 000000 002066 aa 000000 000000 002067 5a 000351 000000 002070 aa 000000 000000 002071 aa 000000 000000 002072 aa 000000 000000 002073 aa 000000 000000 002074 5a 000202 000000 002075 aa 000000 000000 002076 aa 000000 000000 002077 aa 000000 000000 002100 5a 000553 000000 002101 aa 000000 000000 002102 aa 000000 000000 002103 aa 000000 000000 002104 5a 000172 000000 002105 aa 000000 000000 002106 aa 000000 000000 002107 aa 000000 000000 002110 aa 000000 000000 002111 aa 000000 000000 EXTERNAL NAMES  002112 aa 013 142 154 157 block_nodes 002113 aa 143 153 137 156 002114 aa 157 144 145 163 002115 aa 016 147 145 156 gen_pl1_symbol  002116 aa 137 160 154 061 002117 aa 137 163 171 155 002120 aa 142 157 154 000 002121 aa 011 160 154 061 pl1_print  002122 aa 137 160 162 151 002123 aa 156 164 000 000 002124 aa 007 162 145 163 reserve 002125 aa 145 162 166 145 002126 aa 014 164 162 145 tree_manager  002127 aa 145 137 155 141 002130 aa 156 141 147 145 002131 aa 162 000 000 000 NO TRAP POINTER WORDS  TYPE PAIR BLOCKS  002132 aa 000004 000000 002133 55 000014 001352 002134 aa 000004 000000 002135 55 000020 000020 002136 aa 000004 000000 002137 55 000031 000031 002140 aa 000004 000000 002141 55 000042 000042 002142 aa 000004 000000 002143 55 000052 000052 002144 aa 000004 000000 002145 55 000062 000062 002146 aa 000004 000000 002147 55 001355 000072 002150 aa 000004 000000 002151 55 001355 000100 002152 aa 000004 000000 002153 55 000115 000106 002154 aa 000004 000000 002155 55 000115 000115 002156 aa 000004 000000 002157 55 000124 000124 002160 aa 000004 000000 002161 55 000133 000133 002162 aa 000004 000000 002163 55 000142 000142 002164 aa 000004 000000 002165 55 000042 000151 002166 aa 000004 000000 002167 55 000156 000156 002170 aa 000004 000000 002171 55 000166 000166 002172 aa 000004 000000 002173 55 000175 000175 002174 aa 000004 000000 002175 55 001361 000205 002176 aa 000004 000000 002177 55 001361 000214 002200 aa 000004 000000 002201 55 001361 000221 002202 aa 000004 000000 002203 55 001361 000230 002204 aa 000004 000000 002205 55 001361 000236 002206 aa 000004 000000 002207 55 001361 000245 002210 aa 000004 000000 002211 55 001361 000253 002212 aa 000004 000000 002213 55 001361 000261 002214 aa 000004 000000 002215 55 000310 000266 002216 aa 000004 000000 002217 55 000310 000276 002220 aa 000004 000000 002221 55 000310 000303 002222 aa 000004 000000 002223 55 000310 000310 002224 aa 000004 000000 002225 55 000315 000315 002226 aa 000004 000000 002227 55 000322 000322 002230 aa 000004 000000 002231 55 000332 000332 002232 aa 000004 000000 002233 55 000341 000341 002234 aa 000004 000000 002235 55 000347 000347 002236 aa 000004 000000 002237 55 000354 000354 002240 aa 000004 000000 002241 55 000424 000364 002242 aa 000004 000000 002243 55 000424 000372 002244 aa 000004 000000 002245 55 000424 000401 002246 aa 000004 000000 002247 55 000424 000407 002250 aa 000004 000000 002251 55 000424 000415 002252 aa 000004 000000 002253 55 000424 000424 002254 aa 000004 000000 002255 55 001364 000431 002256 aa 000004 000000 002257 55 001364 000440 002260 aa 000004 000000 002261 55 001364 000446 002262 aa 000004 000000 002263 55 001364 000453 002264 aa 000004 000000 002265 55 000467 000461 002266 aa 000004 000000 002267 55 000467 000467 002270 aa 000004 000000 002271 55 000476 000476 002272 aa 000004 000000 002273 55 000506 000506 002274 aa 000004 000000 002275 55 000514 000514 002276 aa 000004 000000 002277 55 000524 000524 002300 aa 000004 000000 002301 55 000533 000533 002302 aa 000004 000000 002303 55 000542 000542 002304 aa 000004 000000 002305 55 000562 000551 002306 aa 000004 000000 002307 55 000562 000556 002310 aa 000004 000000 002311 55 000562 000562 002312 aa 000004 000000 002313 55 000615 000572 002314 aa 000004 000000 002315 55 000615 000577 002316 aa 000004 000000 002317 55 000615 000603 002320 aa 000004 000000 002321 55 000615 000610 002322 aa 000004 000000 002323 55 000615 000615 002324 aa 000004 000000 002325 55 000625 000625 002326 aa 000004 000000 002327 55 000634 000634 002330 aa 000004 000000 002331 55 000642 000642 002332 aa 000004 000000 002333 55 001366 000650 002334 aa 000004 000000 002335 55 001366 000656 002336 aa 000004 000000 002337 55 000700 000663 002340 aa 000004 000000 002341 55 000671 000671 002342 aa 000004 000000 002343 55 000700 000700 002344 aa 000004 000000 002345 55 000710 000710 002346 aa 000004 000000 002347 55 000720 000720 002350 aa 000004 000000 002351 55 000727 000727 002352 aa 000004 000000 002353 55 000735 000735 002354 aa 000004 000000 002355 55 000755 000744 002356 aa 000004 000000 002357 55 000750 000750 002360 aa 000004 000000 002361 55 000755 000755 002362 aa 000004 000000 002363 55 000762 000762 002364 aa 000004 000000 002365 55 001007 000772 002366 aa 000004 000000 002367 55 001007 001000 002370 aa 000004 000000 002371 55 001007 001007 002372 aa 000004 000000 002373 55 001016 001016 002374 aa 000004 000000 002375 55 001025 001025 002376 aa 000004 000000 002377 55 001034 001034 002400 aa 000004 000000 002401 55 001043 001043 002402 aa 000004 000000 002403 55 001054 001054 002404 aa 000004 000000 002405 55 001063 001063 002406 aa 000004 000000 002407 55 001072 001072 002410 aa 000004 000000 002411 55 001101 001101 002412 aa 000001 000000 002413 aa 000000 000000 INTERNAL EXPRESSION WORDS 002414 5a 001372 000000 002415 5a 001374 000000 002416 5a 001376 000000 002417 5a 001400 000000 002420 5a 001402 000000 002421 5a 001404 000000 002422 5a 001406 000000 002423 5a 001410 000000 002424 5a 001412 000000 002425 5a 001414 000000 002426 5a 001416 000000 002427 5a 001420 000000 002430 5a 001422 000000 002431 5a 001424 000000 002432 5a 001426 000000 002433 5a 001430 000000 002434 5a 001432 000000 002435 5a 001434 000000 002436 5a 001436 000000 002437 5a 001440 000000 002440 5a 001442 000000 002441 5a 001444 000000 002442 5a 001446 000000 002443 5a 001450 000000 002444 5a 001452 000000 002445 5a 001454 000000 002446 5a 001456 000000 002447 5a 001460 000000 002450 5a 001462 000000 002451 5a 001464 000000 002452 5a 001466 000000 002453 5a 001470 000000 002454 5a 001472 000000 002455 5a 001474 000000 002456 5a 001476 000000 002457 5a 001500 000000 002460 5a 001502 000000 002461 5a 001504 000000 002462 5a 001506 000000 002463 5a 001510 000000 002464 5a 001512 000000 002465 5a 001514 000000 002466 5a 001516 000000 002467 5a 001520 000000 002470 5a 001522 000000 002471 5a 001524 000000 002472 5a 001526 000000 002473 5a 001530 000000 002474 5a 001532 000000 002475 5a 001534 000000 002476 5a 001536 000000 002477 5a 001540 000000 002500 5a 001542 000000 002501 5a 001544 000000 002502 5a 001546 000000 002503 5a 001550 000000 002504 5a 001552 000000 002505 5a 001554 000000 002506 5a 001556 000000 002507 5a 001560 000000 002510 5a 001562 000000 002511 5a 001564 000000 002512 5a 001566 000000 002513 5a 001570 000000 002514 5a 001572 000000 002515 5a 001574 000000 002516 5a 001576 000000 002517 5a 001600 000000 002520 5a 001602 000000 002521 5a 001604 000000 002522 5a 001606 000000 002523 5a 001610 000000 002524 5a 001612 000000 002525 5a 001614 000000 002526 5a 001616 000000 002527 5a 001620 000000 002530 5a 001622 000000 002531 5a 001624 000000 002532 5a 001626 000000 002533 5a 001630 000000 002534 5a 001632 000000 002535 5a 001634 000000 002536 5a 001636 000000 002537 5a 001640 000000 002540 5a 001642 000000 002541 5a 001644 000000 002542 5a 001646 000000 002543 5a 001650 000000 LINKAGE INFORMATION 000000 aa 000000 000000 000001 0a 000540 000000 000002 aa 000000 000000 000003 aa 000000 000000 000004 aa 000000 000000 000005 aa 000000 000000 000006 22 000010 000270 000007 a2 000000 000000 000010 9a 777770 0000 46 create_array|create_array  000011 5a 002003 0000 00 000012 9a 777766 0000 46 create_block|create_block  000013 5a 002002 0000 00 000014 9a 777764 0000 46 create_bound|create_bound  000015 5a 002001 0000 00 000016 9a 777762 0000 46 create_context|create_context  000017 5a 002000 0000 00 000020 9a 777760 0000 46 create_cross_reference|create_cross_reference  000021 5a 001777 0000 00 000022 9a 777756 0000 46 create_default|create_default  000023 5a 001776 0000 00 000024 9a 777754 0000 46 create_label|create_label  000025 5a 001775 0000 00 000026 9a 777752 0000 46 create_symbol|create_symbol 000027 5a 001774 0000 00 000030 9a 777750 0000 46 create_token|create_token  000031 5a 001773 0000 00 000032 9a 777746 0000 46 create_token|init_hash_table  000033 5a 001772 0000 00 000034 9a 777744 0000 46 create_token|protected  000035 5a 001771 0000 00 000036 9a 777742 0000 46 create_identifier|create_identifier 000037 5a 001770 0000 00 000040 9a 777740 0000 46 bindec|bindec  000041 5a 001767 0000 00 000042 9a 777736 0000 46 binoct|binoct  000043 5a 001766 0000 00 000044 9a 777734 0000 46 bindec|vs  000045 5a 001765 0000 00 000046 9a 777732 0000 46 token_to_binary|token_to_binary 000047 5a 001764 0000 00 000050 9a 777730 0000 46 create_list|create_list 000051 5a 001763 0000 00 000052 9a 777726 0000 46 create_operator|create_operator 000053 5a 001762 0000 00 000054 9a 777724 0000 46 create_reference|create_reference  000055 5a 001761 0000 00 000056 9a 777722 0000 46 create_statement|create_statement  000057 5a 001760 0000 00 000060 9a 777720 0000 46 create_storage|create_storage  000061 5a 001757 0000 00 000062 9a 777716 0000 46 create_statement|prologue  000063 5a 001756 0000 00 000064 9a 777714 0000 46 tree_manager|init  000065 5a 001755 0000 00 000066 9a 777712 0000 46 tree_manager|truncate  000067 5a 001754 0000 00 000070 9a 777710 0000 46 free_node|free_node 000071 5a 001753 0000 00 000072 9a 777706 0000 46 get_size|get_size  000073 5a 001752 0000 00 000074 9a 777704 0000 46 refer_extent|refer_extent  000075 5a 001751 0000 00 000076 9a 777702 0000 46 declare_constant|declare_constant  000077 5a 001750 0000 00 000100 9a 777700 0000 46 declare_constant|integer  000101 5a 001747 0000 00 000102 9a 777676 0000 46 declare_constant|desc  000103 5a 001746 0000 00 000104 9a 777674 0000 46 declare_constant|bit  000105 5a 001745 0000 00 000106 9a 777672 0000 46 declare_constant|char  000107 5a 001744 0000 00 000110 9a 777670 0000 46 declare_descriptor|declare_descriptor  000111 5a 001743 0000 00 000112 9a 777666 0000 46 declare_descriptor|ctl  000113 5a 001742 0000 00 000114 9a 777664 0000 46 declare_descriptor|param  000115 5a 001741 0000 00 000116 9a 777662 0000 46 declare_integer|declare_integer 000117 5a 001740 0000 00 000120 9a 777660 0000 46 declare_picture|declare_picture 000121 5a 001737 0000 00 000122 9a 777656 0000 46 declare_pointer|declare_pointer 000123 5a 001736 0000 00 000124 9a 777654 0000 46 declare_temporary|declare_temporary 000125 5a 001735 0000 00 000126 9a 777652 0000 46 optimizer|optimizer 000127 5a 001734 0000 00 000130 9a 777650 0000 46 compare_expression|compare_expression  000131 5a 001733 0000 00 000132 9a 777646 0000 46 copy_expression|copy_expression 000133 5a 001732 0000 00 000134 9a 777644 0000 46 copy_expression|copy_sons  000135 5a 001731 0000 00 000136 9a 777642 0000 46 reserve|declare_lib 000137 5a 001730 0000 00 000140 9a 777640 0000 46 reserve|clear  000141 5a 001727 0000 00 000142 9a 777636 0000 46 reserve|read_lib  000143 5a 001726 0000 00 000144 9a 777634 0000 46 reserve|rename_parse  000145 5a 001725 0000 00 000146 9a 777632 0000 46 convert|convert 000147 5a 001724 0000 00 000150 9a 777630 0000 46 convert|from_builtin  000151 5a 001723 0000 00 000152 9a 777626 0000 46 convert|validate  000153 5a 001722 0000 00 000154 9a 777624 0000 46 convert|to_integer  000155 5a 001721 0000 00 000156 9a 777622 0000 46 convert|to_target_fb  000157 5a 001720 0000 00 000160 9a 777620 0000 46 convert|to_target  000161 5a 001717 0000 00 000162 9a 777616 0000 46 share_expression|share_expression  000163 5a 001716 0000 00 000164 9a 777614 0000 46 decbin|decbin  000165 5a 001715 0000 00 000166 9a 777612 0000 46 parse_error|parse_error 000167 5a 001714 0000 00 000170 9a 777610 0000 46 decode_node_id|decode_node_id  000171 5a 001713 0000 00 000172 9a 777606 0000 46 decode_source_id|decode_source_id  000173 5a 001712 0000 00 000174 9a 777604 0000 46 error|error 000175 5a 001711 0000 00 000176 9a 777602 0000 46 error_|error_  000177 5a 001710 0000 00 000200 9a 777600 0000 46 error_|no_text  000201 5a 001707 0000 00 000202 9a 777576 0000 46 error_|finish  000203 5a 001706 0000 00 000204 9a 777574 0000 46 error_|initialize_error 000205 5a 001705 0000 00 000206 9a 777572 0000 46 pl1_print|varying  000207 5a 001704 0000 00 000210 9a 777570 0000 46 pl1_print|varying_nl  000211 5a 001703 0000 00 000212 9a 777566 0000 46 pl1_print|non_varying  000213 5a 001702 0000 00 000214 9a 777564 0000 46 pl1_print|non_varying_nl  000215 5a 001701 0000 00 000216 9a 777562 0000 46 pl1_print|string_ptr  000217 5a 001700 0000 00 000220 9a 777560 0000 46 pl1_print|string_ptr_nl 000221 5a 001677 0000 00 000222 9a 777556 0000 46 pl1_print|for_lex  000223 5a 001676 0000 00 000224 9a 777554 0000 46 pl1_print|unaligned_nl  000225 5a 001675 0000 00 000226 9a 777552 0000 46 merge_attributes|merge_attributes  000227 5a 001674 0000 00 000230 9a 777550 0000 46 display_pl1_map|display_pl1_map 000231 5a 001673 0000 00 000232 9a 777546 0000 46 display_pl1_text|display_pl1_text  000233 5a 001672 0000 00 000234 9a 777544 0000 46 sym_sort_alphabetic|by_size 000235 5a 001671 0000 00 000236 9a 777542 0000 46 compile_formats|compile_formats 000237 5a 001670 0000 00 000240 9a 777540 0000 46 assign_storage|assign_storage  000241 5a 001667 0000 00 000242 9a 777536 0000 46 compile_link|compile_link  000243 5a 001666 0000 00 000244 9a 777534 0000 46 gen_pl1_linkage|gen_pl1_linkage 000245 5a 001665 0000 00 000246 9a 777532 0000 46 gen_pl1_linkage|init_linkage  000247 5a 001664 0000 00 000250 9a 777530 0000 46 gen_pl1_symbol|beg_symbol  000251 5a 001663 0000 00 000252 9a 777526 0000 46 gen_pl1_symbol|end_symbol  000253 5a 001662 0000 00 000254 9a 777524 0000 46 generate_definition|generate_definition 000255 5a 001661 0000 00 000256 9a 777522 0000 46 pl1_signal_catcher|pl1_signal_catcher  000257 5a 001660 0000 00 000260 9a 777520 0000 46 sym_sort_alphabetic|sym_sort_alphabetic 000261 5a 001657 0000 00 000262 9a 777516 0000 46 declare_picture_temp|declare_picture_temp  000263 5a 001656 0000 00 000264 9a 777514 0000 46 copy_unique_expression|copy_unique_expression  000265 5a 001655 0000 00 000266 9a 777512 0000 46 mst|block_nodes 000267 5a 001654 0000 00 SYMBOL INFORMATION SYMBOL TABLE HEADER  000000 aa 000000 000001 000001 aa 163171 155142 000002 aa 164162 145145 000003 aa 000000 000004 000004 aa 000000 112143 000005 aa 305203 523135 000006 aa 000000 112162 000007 aa 426372 037775 000010 aa 141154 155040 000011 aa 040040 040040 000012 aa 000024 000040 000013 aa 000034 000040 000014 aa 000044 000100 000015 aa 000002 000002 000016 aa 000064 000000 000017 aa 000000 000234 000020 aa 000000 000102 000021 aa 000000 000165 000022 aa 000226 000102 000023 aa 000064 000000 000024 aa 101114 115040 000025 aa 126145 162163 000026 aa 151157 156040 000027 aa 040066 056066 000030 aa 040040 116157 000031 aa 166145 155142 000032 aa 145162 040061 000033 aa 071070 062040 000034 aa 107112 157150 000035 aa 156163 157156 000036 aa 056123 171163 000037 aa 115141 151156 000040 aa 164056 155040 000041 aa 040040 040040 000042 aa 040040 040040 000043 aa 040040 040040 000044 aa 154151 163164 000045 aa 040040 040040 000046 aa 040040 040040 000047 aa 040040 040040 000050 aa 040040 040040 000051 aa 040040 040040 000052 aa 040040 040040 000053 aa 040040 040040 000054 aa 040040 040040 000055 aa 040040 040040 000056 aa 040040 040040 000057 aa 040040 040040 000060 aa 040040 040040 000061 aa 040040 040040 000062 aa 040040 040040 000063 aa 040040 040040 000064 aa 000000 000001 000065 aa 000000 000001 000066 aa 000072 000036 000067 aa 121622 265205 000070 aa 000000 112162 000071 aa 263242 400000 000072 aa 076163 160145 >spec>on>pl128d>lang_util_.alm  000073 aa 143076 157156 000074 aa 076160 154061 000075 aa 062070 144076 000076 aa 154141 156147 000077 aa 137165 164151 000100 aa 154137 056141 000101 aa 154155 040040 MULTICS ASSEMBLY CROSS REFERENCE LISTING Value Symbol Source file Line number  114 assign_storage lang_util_: 98. 120 beg_symbol lang_util_: 102. 14 bindec lang_util_: 34, 36.  15 binoct lang_util_: 35. 36 bit lang_util_: 52. block_nodes lang_util_: 114. 112 by_size lang_util_: 96. 37 char lang_util_: 53. 54 clear lang_util_: 66. 50 compare_expression lang_util_: 62. 113 compile_formats lang_util_: 97. 115 compile_link lang_util_: 99. 57 convert lang_util_: 69, 70, 71, 72, 73, 74.  51 copy_expression lang_util_: 63, 64.  52 copy_sons lang_util_: 64. 126 copy_unique_expression lang_util_: 108. 0 create_array lang_util_: 22. 1 create_block lang_util_: 23. 2 create_bound lang_util_: 24. 3 create_context lang_util_: 25. 4 create_cross_reference lang_util_: 26. 5 create_default lang_util_: 27. 13 create_identifier lang_util_: 33. 6 create_label lang_util_: 28. 20 create_list lang_util_: 38. 21 create_operator lang_util_: 39. 22 create_reference lang_util_: 40. 23 create_statement lang_util_: 41, 43.  24 create_storage lang_util_: 42. 7 create_symbol lang_util_: 29. 10 create_token lang_util_: 30, 31, 32. 41 ctl lang_util_: 55. 66 decbin lang_util_: 76. 33 declare_constant lang_util_: 49, 50, 51, 52, 53. 40 declare_descriptor lang_util_: 54, 55, 56. 43 declare_integer lang_util_: 57. 53 declare_lib lang_util_: 65. 44 declare_picture lang_util_: 58. 125 declare_picture_temp lang_util_: 107. 45 declare_pointer lang_util_: 59. 46 declare_temporary lang_util_: 60. 70 decode_node_id lang_util_: 78. 71 decode_source_id lang_util_: 79. 35 desc lang_util_: 51. 110 display_pl1_map lang_util_: 94. 111 display_pl1_text lang_util_: 95. 121 end_symbol lang_util_: 103. 72 error lang_util_: 80. 73 error_ lang_util_: 81, 82, 83, 84.  75 finish lang_util_: 83. 105 for_lex lang_util_: 91. 30 free_node lang_util_: 46. 60 from_builtin lang_util_: 70. 122 generate_definition lang_util_: 104. 116 gen_pl1_linkage lang_util_: 100, 101.  gen_pl1_symbol lang_util_: 102, 103.  31 get_size lang_util_: 47. 26 init lang_util_: 44. 76 initialize_error lang_util_: 84. 11 init_hash_table lang_util_: 31. 117 init_linkage lang_util_: 101. 34 integer lang_util_: 50. 107 merge_attributes lang_util_: 93. 127 mst lang_util_: 112, 113, 114. 101 non_varying lang_util_: 87. 102 non_varying_nl lang_util_: 88. 74 no_text lang_util_: 82. 47 optimizer lang_util_: 61. 42 param lang_util_: 56. 67 parse_error lang_util_: 77. pl1_print lang_util_: 85, 86, 87, 88, 89, 90, 91, 92.  123 pl1_signal_catcher lang_util_: 105. 25 prologue lang_util_: 43. 12 protected lang_util_: 32. 55 read_lib lang_util_: 67. 32 refer_extent lang_util_: 48. 56 rename_parse lang_util_: 68. reserve lang_util_: 65, 66, 67, 68.  65 share_expression lang_util_: 75. 103 string_ptr lang_util_: 89. 104 string_ptr_nl lang_util_: 90. 124 sym_sort_alphabetic lang_util_: 96, 106.  17 token_to_binary lang_util_: 37. 62 to_integer lang_util_: 72. 64 to_target lang_util_: 74. 63 to_target_fb lang_util_: 73. tree_manager lang_util_: 44, 45.  27 truncate lang_util_: 45. 106 unaligned_nl lang_util_: 92. 61 validate lang_util_: 71. 77 varying lang_util_: 85. 100 varying_nl lang_util_: 86. 16 vs lang_util_: 36. NO FATAL ERRORS  ----------------------------------------------------------- Historical Background This edition of the Multics software materials and documentation is provided and donated to Massachusetts Institute of Technology by Group BULL including BULL HN Information Systems Inc. as a contribution to computer science knowledge. This donation is made also to give evidence of the common contributions of Massachusetts Institute of Technology, Bell Laboratories, General Electric, Honeywell Information Systems Inc., Honeywell BULL Inc., Groupe BULL and BULL HN Information Systems Inc. to the development of this operating system. Multics development was initiated by Massachusetts Institute of Technology Project MAC (1963-1970), renamed the MIT Laboratory for Computer Science and Artificial Intelligence in the mid 1970s, under the leadership of Professor Fernando Jose Corbato. Users consider that Multics provided the best software architecture for managing computer hardware properly and for executing programs. Many subsequent operating systems incorporated Multics principles. Multics was distributed in 1975 to 2000 by Group Bull in Europe , and in the U.S. by Bull HN Information Systems Inc., as successor in interest by change in name only to Honeywell Bull Inc. and Honeywell Information Systems Inc. . ----------------------------------------------------------- Permission to use, copy, modify, and distribute these programs and their documentation for any purpose and without fee is hereby granted,provided that the below copyright notice and historical background appear in all copies and that both the copyright notice and historical background and this permission notice appear in supporting documentation, and that the names of MIT, HIS, BULL or BULL HN not be used in advertising or publicity pertaining to distribution of the programs without specific prior written permission. Copyright 1972 by Massachusetts Institute of Technology and Honeywell Information Systems Inc. Copyright 2006 by BULL HN Information Systems Inc. Copyright 2006 by Bull SAS All Rights Reserved