Prev PageHierarchyFilesModulesSignalsTasksFunctionsHelp
ABCDEFHIKLMNOPRSTUVWXY

Signals index

S
 scl : i2c : output reg
Connects up to:adv7185init:i2c:tv_in_i2c_clock 
 sda : i2c : output
Connects up to:adv7185init:i2c:tv_in_i2c_data 
 sdai : i2c : reg
 shiftreg : delayN : reg
 source : adv7185init : input
 state : adv7185init : reg
 state : display_16hex : reg
 state : i2c : reg
 sw : ntsc_to_zbt : input
Connects up to:zbt_6111_sample:n2z:switch 
 switch : zbt_6111_sample : input
Connects down to:ntsc_to_zbt:n2z:sw 
 sw_ntsc : zbt_6111_sample : wire
 systemace_address : zbt_6111_sample : output
 systemace_ce_b : zbt_6111_sample : output
 systemace_data : zbt_6111_sample : inout
 systemace_irq : zbt_6111_sample : input
 systemace_mpbrdy : zbt_6111_sample : input
 systemace_oe_b : zbt_6111_sample : output
 systemace_we_b : zbt_6111_sample : output
T
 tv_in_aef : zbt_6111_sample : input
 tv_in_aff : zbt_6111_sample : input
 tv_in_clock : zbt_6111_sample : output
 tv_in_data_valid : zbt_6111_sample : input
 tv_in_fifo_clock : zbt_6111_sample : output
 tv_in_fifo_read : zbt_6111_sample : output
 tv_in_hff : zbt_6111_sample : input
 tv_in_i2c_clock : adv7185init : output
Connects down to:i2c:i2c:scl 
Connects up to:zbt_6111_sample:adv7185:tv_in_i2c_clock 
 tv_in_i2c_clock : zbt_6111_sample : output
Connects down to:adv7185init:adv7185:tv_in_i2c_clock 
 tv_in_i2c_data : adv7185init : output
Connects down to:i2c:i2c:sda 
Connects up to:zbt_6111_sample:adv7185:tv_in_i2c_data 
 tv_in_i2c_data : zbt_6111_sample : inout
Connects down to:adv7185init:adv7185:tv_in_i2c_data 
 tv_in_iso : zbt_6111_sample : output
 tv_in_line_clock1 : zbt_6111_sample : input (used in @posedge)
Connects down to:ntsc_decode:decode:clk , ntsc_to_zbt:n2z:vclk 
 tv_in_line_clock2 : zbt_6111_sample : input
 tv_in_reset_b : adv7185init : output reg
Connects up to:zbt_6111_sample:adv7185:tv_in_reset_b 
 tv_in_reset_b : zbt_6111_sample : output
Connects down to:adv7185init:adv7185:tv_in_reset_b 
 tv_in_ycrcb : ntsc_decode : input
Connects up to:zbt_6111_sample:decode:tv_in_ycrcb 
 tv_in_ycrcb : zbt_6111_sample : input
Connects down to:ntsc_decode:decode:tv_in_ycrcb 
 tv_out_blank_b : zbt_6111_sample : output
 tv_out_clock : zbt_6111_sample : output
 tv_out_hsync_b : zbt_6111_sample : output
 tv_out_i2c_clock : zbt_6111_sample : output
 tv_out_i2c_data : zbt_6111_sample : output
 tv_out_pal_ntsc : zbt_6111_sample : output
 tv_out_reset_b : zbt_6111_sample : output
 tv_out_subcar_reset : zbt_6111_sample : output
 tv_out_vsync_b : zbt_6111_sample : output
 tv_out_ycrcb : zbt_6111_sample : output
U
 user1 : zbt_6111_sample : inout
 user2 : zbt_6111_sample : inout
 user3 : zbt_6111_sample : inout
 user4 : zbt_6111_sample : inout
 user_reset : zbt_6111_sample : wire
Connects down to:debounce:db1:clean 
ABCDEFHIKLMNOPRSTUVWXY
Next PageHierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Dec 8 21:40:00 2005

Verilog converted to html by v2html 7.30 (written by Costas Calamvokis).Help