Prev PageHierarchyFilesModulesSignalsTasksFunctionsHelp
ABCDEFHIKLMNOPRSTUVWXY

Signals index

V
 v : ntsc_decode : output
Connects up to:zbt_6111_sample:decode:fvh 
 vblank : xvga : reg
 vblankon : xvga : wire
 vclk : ntsc_to_zbt : input (used in @posedge)
Connects up to:zbt_6111_sample:n2z:tv_in_line_clock1 
 vclock : xvga : input (used in @posedge)
Connects up to:zbt_6111_sample:xvga1:clk 
 vcount : vram_display : input
Connects up to:zbt_6111_sample:vd1:vcount 
 vcount : xvga : output reg
Connects up to:zbt_6111_sample:xvga1:vcount 
 vcount : zbt_6111_sample : wire
Connects down to:xvga:xvga1:vcount , vram_display:vd1:vcount 
 vdata : ntsc_to_zbt : reg
 vga_out_blank_b : zbt_6111_sample : output
 vga_out_blue : zbt_6111_sample : output
 vga_out_green : zbt_6111_sample : output
 vga_out_hsync : zbt_6111_sample : output
 vga_out_pixel_clock : zbt_6111_sample : output
 vga_out_red : zbt_6111_sample : output
 vga_out_sync_b : zbt_6111_sample : output
 vga_out_vsync : zbt_6111_sample : output
 vpat : zbt_6111_sample : wire
 vram_addr : vram_display : output wire
Connects up to:zbt_6111_sample:vd1:vram_addr1 
 vram_addr : zbt_6111_sample : wire
Connects down to:zbt_6111:zbt1:addr 
 vram_addr1 : zbt_6111_sample : wire
Connects down to:vram_display:vd1:vram_addr 
 vram_addr2 : zbt_6111_sample : wire
 vram_read_data : vram_display : input
Connects up to:zbt_6111_sample:vd1:vram_read_data 
 vram_read_data : zbt_6111_sample : wire
Connects down to:zbt_6111:zbt1:read_data , vram_display:vd1:vram_read_data 
 vram_we : zbt_6111_sample : wire
Connects down to:zbt_6111:zbt1:we 
 vram_write_data : zbt_6111_sample : wire
Connects down to:zbt_6111:zbt1:write_data 
 vreset : xvga : wire
 vr_data_latched : vram_display : reg
 vr_pixel : vram_display : output reg
Connects up to:zbt_6111_sample:vd1:vr_pixel 
 vr_pixel : zbt_6111_sample : wire
Connects down to:vram_display:vd1:vr_pixel 
 vs : zbt_6111_sample : wire
Connects down to:delayN:dn2:out 
 vsync : xvga : output reg
Connects up to:zbt_6111_sample:xvga1:vsync 
 vsync : zbt_6111_sample : wire
Connects down to:xvga:xvga1:vsync , delayN:dn2:in 
 vsyncoff : xvga : wire
 vsyncon : xvga : wire
 vwe : ntsc_to_zbt : reg
W
 we : ntsc_to_zbt : reg
 we : zbt_6111 : input
Connects up to:zbt_6111_sample:zbt1:vram_we 
 we_delay : zbt_6111 : reg
 we_edge : ntsc_to_zbt : wire
 write_addr : zbt_6111_sample : wire
 write_data : zbt_6111 : input
Connects up to:zbt_6111_sample:zbt1:vram_write_data 
 write_data : zbt_6111_sample : wire
 write_data_old1 : zbt_6111 : reg
 write_data_old2 : zbt_6111 : reg
X
 x : ntsc_to_zbt : reg
 xnew : debounce : reg
Y
 y : ntsc_decode : reg
 y : ntsc_to_zbt : reg
 ycrcb : ntsc_decode : output
Connects up to:zbt_6111_sample:decode:ycrcb 
 ycrcb : zbt_6111_sample : wire
Connects down to:ntsc_decode:decode:ycrcb , ntsc_to_zbt:n2z:din 
 y_enable : ntsc_decode : wire
ABCDEFHIKLMNOPRSTUVWXY
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Dec 8 21:40:00 2005

Verilog converted to html by v2html 7.30 (written by Costas Calamvokis).Help