Prev PageHierarchyFilesModulesSignalsTasksFunctionsHelp
ABCDEFHIKLMNOPRSTUVWXY

Signals index

I
 idle : adv7185init : wire
Connects down to:i2c:i2c:idle 
 idle : i2c : output reg
Connects up to:adv7185init:i2c:idle 
 in : delayN : input
Connects up to:zbt_6111_sample:dn2:vsync , zbt_6111_sample:dn3:blank , zbt_6111_sample:dn1:hsync 
K
 keyboard_clock : zbt_6111_sample : input
 keyboard_data : zbt_6111_sample : input
L
 last_vr_data : vram_display : reg
 ldata : i2c : reg
 led : zbt_6111_sample : output
 load : adv7185init : reg
Connects down to:i2c:i2c:load 
 load : i2c : input
Connects up to:adv7185init:i2c:load 
M
 mouse_clock : zbt_6111_sample : input
 mouse_data : zbt_6111_sample : input
 myaddr : ntsc_to_zbt : wire
 myaddr2 : ntsc_to_zbt : wire
 mydata : ntsc_to_zbt : reg
 mydata2 : ntsc_to_zbt : wire
 my_we : zbt_6111_sample : wire
N
 next_hblank : xvga : wire
 next_vblank : xvga : wire
 nibble : display_16hex : reg
 noisy : debounce : input
Connects up to:zbt_6111_sample:db1:button_enter 
 ntsc_addr : ntsc_to_zbt : output reg
Connects up to:zbt_6111_sample:n2z:ntsc_addr 
 ntsc_addr : zbt_6111_sample : wire
Connects down to:ntsc_to_zbt:n2z:ntsc_addr 
 ntsc_data : ntsc_to_zbt : output reg
Connects up to:zbt_6111_sample:n2z:ntsc_data 
 ntsc_data : zbt_6111_sample : wire
Connects down to:ntsc_to_zbt:n2z:ntsc_data 
 ntsc_we : ntsc_to_zbt : output wire
Connects up to:zbt_6111_sample:n2z:ntsc_we 
 ntsc_we : zbt_6111_sample : wire
Connects down to:ntsc_to_zbt:n2z:ntsc_we 
O
 old_dv : ntsc_to_zbt : reg
 old_frame : ntsc_to_zbt : reg
 old_source : adv7185init : reg
 old_we : ntsc_to_zbt : reg
 out : delayN : output wire
Connects up to:zbt_6111_sample:dn1:hs , zbt_6111_sample:dn3:b , zbt_6111_sample:dn2:vs 
P
 pixel : zbt_6111_sample : reg
 power_on_reset : zbt_6111_sample : wire
Connects down to:SRL16:reset_sr:Q , debounce:db1:reset 
R
 ram0_address : zbt_6111_sample : output
Connects down to:zbt_6111:zbt1:ram_address 
 ram0_adv_ld : zbt_6111_sample : output
 ram0_bwe_b : zbt_6111_sample : output
 ram0_cen_b : zbt_6111_sample : output
Connects down to:zbt_6111:zbt1:ram_cen_b 
 ram0_ce_b : zbt_6111_sample : output
 ram0_clk : zbt_6111_sample : output
Connects down to:zbt_6111:zbt1:ram_clk 
 ram0_data : zbt_6111_sample : inout
Connects down to:zbt_6111:zbt1:ram_data 
 ram0_oe_b : zbt_6111_sample : output
 ram0_we_b : zbt_6111_sample : output
Connects down to:zbt_6111:zbt1:ram_we_b 
 ram1_address : zbt_6111_sample : output
 ram1_adv_ld : zbt_6111_sample : output
 ram1_bwe_b : zbt_6111_sample : output
 ram1_cen_b : zbt_6111_sample : output
 ram1_ce_b : zbt_6111_sample : output
 ram1_clk : zbt_6111_sample : output
 ram1_data : zbt_6111_sample : inout
 ram1_oe_b : zbt_6111_sample : output
 ram1_we_b : zbt_6111_sample : output
 ram_address : zbt_6111 : output
Connects up to:zbt_6111_sample:zbt1:ram0_address 
 ram_cen_b : zbt_6111 : output wire
Connects up to:zbt_6111_sample:zbt1:ram0_cen_b 
 ram_clk : zbt_6111 : output
Connects up to:zbt_6111_sample:zbt1:ram0_clk 
 ram_data : zbt_6111 : inout
Connects up to:zbt_6111_sample:zbt1:ram0_data 
 ram_we_b : zbt_6111 : output
Connects up to:zbt_6111_sample:zbt1:ram0_we_b 
 read_data : zbt_6111 : output
Connects up to:zbt_6111_sample:zbt1:vram_read_data 
 reset : adv7185init : input
Connects up to:zbt_6111_sample:adv7185:reset 
 reset : debounce : input
Connects up to:zbt_6111_sample:db1:power_on_reset 
 reset : display_16hex : input
Connects up to:zbt_6111_sample:hexdisp1:reset 
 reset : i2c : input
Connects up to:adv7185init:i2c:reset_slow 
 reset : ntsc_decode : input
Connects up to:zbt_6111_sample:decode:reset 
 reset : vram_display : input
Connects up to:zbt_6111_sample:vd1:reset 
 reset : zbt_6111_sample : wire
Connects down to:display_16hex:hexdisp1:reset , vram_display:vd1:reset , adv7185init:adv7185:reset , ntsc_decode:decode:reset 
 reset_count : adv7185init : reg
 reset_count : display_16hex : reg
 reset_slow : adv7185init : wire
Connects down to:i2c:i2c:reset 
 row : ntsc_to_zbt : reg
 rs232_cts : zbt_6111_sample : input
 rs232_rts : zbt_6111_sample : output
 rs232_rxd : zbt_6111_sample : input
 rs232_txd : zbt_6111_sample : output
ABCDEFHIKLMNOPRSTUVWXY
Next PageHierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Dec 8 21:40:00 2005

Verilog converted to html by v2html 7.30 (written by Costas Calamvokis).Help