HierarchyFilesModulesSignalsTasksFunctionsHelp
12345
/*******************************************************************************
*     This file is owned and controlled by Xilinx and must be used             *
*     solely for design, simulation, implementation and creation of            *
*     design files limited to Xilinx devices or technologies. Use              *
*     with non-Xilinx devices or technologies is expressly prohibited          *
*     and immediately terminates your license.                                 *
*                                                                              *
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
*     FOR A PARTICULAR PURPOSE.                                                *
*                                                                              *
*     Xilinx products are not intended for use in life support                 *
*     appliances, devices, or systems. Use in such applications are            *
*     expressly prohibited.                                                    *
*                                                                              *
*     (c) Copyright 1995-2004 Xilinx, Inc.                                     *
*     All rights reserved.                                                     *
*******************************************************************************/

/* Behavioural components instantiated:
C_SHIFT_RAM_V7_0
C_SHIFT_FD_V7_0
MULT_GEN_V7_0
C_TWOS_COMP_V7_0
C_GATE_BIT_V7_0
C_COMPARE_V7_0
C_ADDSUB_V7_0
C_REG_FD_V7_0
BLKMEMDP_V6_0
*/

`timescale 1ns/1ps

[Up: filter_sample dds1]
module dds_20bitIndex(
   DATA,
   WE,
   A,
   CLK,
   CE,
   RDY,
   SINE,
   COSINE
   ); // synthesis black_box

   input [31 : 0] DATA;
   input WE;
   input [4 : 0] A;
   input CLK;
   input CE;
   output RDY;
   output [19 : 0] SINE;
   output [19 : 0] COSINE;
//synopsys translate_off
   wire n0 = 1'b0;
   wire n1 = 1'b1;
   wire n2;
   wire n3;
   wire n4;
   wire n5;
   wire n6;
   wire n7;
   wire n8;
   wire n19;
   wire n20;
   wire n21;
   wire n22;
   wire n23;
   wire n24;
   wire n25;
   wire n26;
   wire n27;
   wire n28;
   wire n29;
   wire n30;
   wire n31;
   wire n32;
   wire n33;
   wire n34;
   wire n35;
   wire n36;
   wire n37;
   wire n38;
   wire n39;
   wire n40;
   wire n41;
   wire n42;
   wire n43;
   wire n44;
   wire n45;
   wire n46;
   wire n47;
   wire n48;
   wire n49;
   wire n50;
   wire n63;
   wire n64;
   wire n65;
   wire n66;
   wire n67;
   wire n68;
   wire n69;
   wire n70;
   wire n71;
   wire n72;
   wire n73;
   wire n74;
   wire n75;
   wire n76;
   wire n77;
   wire n78;
   wire n79;
   wire n80;
   wire n81;
   wire n82;
   wire n83;
   wire n84;
   wire n85;
   wire n86;
   wire n87;
   wire n88;
   wire n89;
   wire n90;
   wire n91;
   wire n92;
   wire n93;
   wire n94;
   wire n95;
   wire n96;
   wire n97;
   wire n98;
   wire n99;
   wire n132;
   wire n133;
   wire n134;
   wire n135;
   wire n136;
   wire n137;
   wire n138;
   wire n139;
   wire n174;
   wire n175;
   wire n176;
   wire n177;
   wire n178;
   wire n179;
   wire n180;
   wire n181;
   wire n182;
   wire n183;
   wire n184;
   wire n185;
   wire n186;
   wire n187;
   wire n188;
   wire n189;
   wire n190;
   wire n191;
   wire n192;
   wire n193;
   wire n194;
   wire n195;
   wire n196;
   wire n197;
   wire n198;
   wire n199;
   wire n200;
   wire n201;
   wire n202;
   wire n203;
   wire n204;
   wire n205;
   wire n206;
   wire n207;
   wire n208;
   wire n209;
   wire n210;
   wire n211;
   wire n212;
   wire n213;
   wire n214;
   wire n215;
   wire n216;
   wire n217;
   wire n218;
   wire n219;
   wire n220;
   wire n221;
   wire n222;
   wire n223;
   wire n224;
   wire n225;
   wire n226;
   wire n227;
   wire n228;
   wire n229;
   wire n230;
   wire n231;
   wire n232;
   wire n233;
   wire n234;
   wire n235;
   wire n236;
   wire n237;
   wire n238;
   wire n239;
   wire n240;
   wire n241;
   wire n242;
   wire n243;
   wire n244;
   wire n245;
   wire n246;
   wire n247;
   wire n248;
   wire n249;
   wire n250;
   wire n251;
   wire n252;
   wire n253;
   wire n254;
   wire n255;
   wire n256;
   wire n257;
   wire n258;
   wire n259;
   wire n260;
   wire n261;
   wire n262;
   wire n263;
   wire n264;
   wire n265;
   wire n266;
   wire n267;
   wire n268;
   wire n269;
   wire n270;
   wire n271;
   wire n272;
   wire n273;
   wire n274;
   wire n275;
   wire n276;
   wire n277;
   wire n278;
   wire n279;
   wire n280;
   wire n281;
   wire n282;
   wire n283;
   wire n284;
   wire n285;
   wire n286;
   wire n287;
   wire n288;
   wire n289;
   wire n290;
   wire n291;
   wire n292;
   wire n293;
   wire n294;
   wire n295;
   wire n296;
   wire n297;
   wire n298;
   wire n299;
   wire n300;
   wire n301;
   wire n302;
   wire n303;
   wire n304;
   wire n305;
   wire n306;
   wire n307;
   wire n308;
   wire n309;
   wire n310;
   wire n311;
   wire n312;
   wire n313;
   wire n314;
   wire n315;
   wire n316;
   wire n317;
   wire n318;
   wire n319;
   wire n320;
   wire n321;
   wire n322;
   wire n323;
   wire n324;
   wire n325;
   wire n326;
   wire n327;
   wire n328;
   wire n329;
   wire n330;
   wire n331;
   wire n385;
   wire n386;
   wire n387;
   wire n388;
   wire n389;
   wire n390;
   wire n391;
   wire n392;
   wire n393;
   wire n394;
   wire n395;
   wire n396;
   wire n397;
   wire n398;
   wire n399;
   wire n400;
   wire n401;
   wire n402;
   wire n455;
   wire n456;
   wire n457;
   wire n458;
   wire n459;
   wire n460;
   wire n461;
   wire n462;
   wire n463;
   wire n464;
   wire n465;
   wire n466;
   wire n467;
   wire n468;
   wire n469;
   wire n470;
   wire n471;
   wire n472;
   wire n508;
   wire n509;
   wire n510;
   wire n511;
   wire n512;
   wire n513;
   wire n514;
   wire n515;
   wire n516;
   wire n517;
   wire n518;
   wire n519;
   wire n520;
   wire n521;
   wire n522;
   wire n523;
   wire n524;
   wire n525;
   wire n526;
   wire n527;
   wire n528;
   wire n529;
   wire n530;
   wire n531;
   wire n532;
   wire n533;
   wire n534;
   wire n535;
   wire n536;
   wire n537;
   wire n538;
   wire n539;
   wire n540;
   wire n541;
   wire n542;
   wire n543;
   wire n544;
   wire n545;
   wire n546;
   wire n547;
   wire n548;
   wire n549;
   wire n550;
   wire n551;
   wire n552;
   wire n553;
   wire n554;
   wire n555;
   wire n556;
   wire n557;
   wire n558;
   wire n559;
   wire n560;
   wire n561;
   wire n562;
   wire n563;
   wire n564;
   wire n565;
   wire n566;
   wire n567;
   wire n568;
   wire n569;
   wire n570;
   wire n571;
   wire n572;
   wire n573;
   wire n574;
   wire n575;
   wire n576;
   wire n577;
   wire n578;
   wire n579;
   wire n580;
   wire n581;
   wire n582;
   wire n583;
   wire n584;
   wire n585;
   wire n586;
   wire n587;
   wire n588;
   wire n589;
   wire n590;
   wire n591;
   wire n592;
   wire n593;
   wire n594;
   wire n595;
   wire n596;
   wire n597;
   wire n598;
   wire n599;
   wire n600;
   wire n601;
   wire n602;
   wire n603;
   wire n604;
   wire n605;
   wire n606;
   wire n607;
   wire n608;
   wire n609;
   wire n610;
   wire n611;
   wire n612;
   wire n613;
   wire n614;
   wire n615;
   wire n616;
   wire n617;
   wire n618;
   wire n619;
   wire n620;
   wire n621;
   wire n622;
   wire n623;
   wire n624;
   wire n625;
   wire n626;
   wire n627;
   wire n628;
   wire n629;
   wire n630;
   wire n631;
   wire n632;
   wire n633;
   wire n634;
   wire n635;
   wire n636;
   wire n637;
   wire n638;
   wire n639;
   wire n640;
   wire n641;
   wire n642;
   wire n643;
   wire n644;
   wire n645;
   wire n646;
   wire n647;
   wire n648;
   wire n649;
   wire n650;
   wire n651;
   wire n652;
   wire n659;
   wire n660;
   wire n661;
   wire n662;
   wire n663;
   wire n664;
   wire n665;
   wire n666;
   wire n667;
   wire n668;
   wire n669;
   wire n670;
   wire n671;
   wire n672;
   wire n673;
   wire n674;
   wire n675;
   wire n676;
   wire n677;
   wire n678;
   wire n679;
   wire n680;
   wire n681;
   wire n682;
   wire n683;
   wire n684;
   wire n685;
   wire n686;
   wire n687;
   wire n688;
   wire n689;
   wire n690;
   wire n691;
   wire n692;
   wire n693;
   wire n694;
   wire n695;
   wire n696;
   wire n697;
   wire n698;
   wire n699;
   wire n700;
   wire n701;
   wire n758;
   wire n759;
   wire n760;
   wire n761;
   wire n762;
   wire n763;
   wire n764;
   wire n765;
   wire n766;
   wire n767;
   wire n768;
   wire n769;
   wire n770;
   wire n771;
   wire n772;
   wire n773;
   wire n774;
   wire n775;
   wire n776;
   wire n777;
   wire n778;
   wire n779;
   wire n780;
   wire n781;
   wire n782;
   wire n783;
   wire n784;
   wire n785;
   wire n786;
   wire n787;
   wire n788;
   wire n789;
   wire n1472;
   wire n1473;
   wire n1474;
   wire n1475;
   wire n1476;
   wire n1477;
   wire n1478;
   wire n1479;
   wire n1480;
   wire n1481;
   wire n1482;
   wire n1483;
   wire n1484;
   wire n1485;
   wire n1506;
   wire n1507;
   wire n1508;
   wire n1509;
   wire n1510;
   wire n1511;
   wire n1512;
   wire n1513;
   wire n1514;
   wire n1515;
   wire n1519;
   wire n1520;
   wire n1521;
   wire n1522;
   wire n1523;
   wire n1524;
   wire n1525;
   wire n1526;
   wire n1527;
   wire n1528;
   wire n1529;
   wire n1530;
   wire n1531;
   wire n1532;
   wire n1533;
   wire n1534;
   wire n1535;
   wire n1536;
   wire n1537;
   wire n1538;
   wire n1539;
   wire n1540;
   wire n1559;
   wire n1560;
   wire n1561;
   wire n1562;
   wire n1563;
   wire n1564;
   wire n1565;
   wire n1566;
   wire n1567;
   wire n1568;
   wire n1569;
   wire n1570;
   wire n1571;
   wire n1572;
   wire n1593;
   wire n1594;
   wire n1595;
   wire n1596;
   wire n1597;
   wire n1598;
   wire n1599;
   wire n1600;
   wire n1601;
   wire n1602;
   wire n1606;
   wire n1607;
   wire n1608;
   wire n1609;
   wire n1610;
   wire n1611;
   wire n1612;
   wire n1613;
   wire n1614;
   wire n1615;
   wire n1616;
   wire n1617;
   wire n1618;
   wire n1619;
   wire n1620;
   wire n1621;
   wire n1622;
   wire n1623;
   wire n1624;
   wire n1625;
   wire n1626;
   wire n1627;
   wire n1646;
   wire n1672;
   wire n1926;
   wire n1945;
   wire n1963;
   wire n2213;
   wire n2232;
   wire n2250;
   wire n6385;
   wire n6386;
   wire n6387;
   wire n6388;
   wire n6389;
   wire n6390;
   wire n6391;
   wire n6392;
   wire n6393;
   wire n6394;
   wire n6395;
   wire n6396;
   wire n6397;
   wire n6398;
   wire n6399;
   wire n6400;
   wire n6401;
   wire n6402;
   wire n6403;
   wire n6404;
   wire n6407;
   wire n6408;
   wire n6409;
   wire n6410;
   wire n6411;
   wire n6412;
   wire n6413;
   wire n6414;
   wire n6415;
   wire n6416;
   wire n6417;
   wire n6418;
   wire n6419;
   wire n6420;
   wire n6421;
   wire n6422;
   wire n6423;
   wire n6424;
   wire n6425;
   wire n6426;
   wire n6428;
   wire n6445;
   wire n6460;
   wire n6461;
   wire n6462;
   wire n6463;
   wire n6464;
   wire n6465;
   wire n6467;
   wire n7171;
   wire n7172;
   wire n7173;
   wire n7174;
   wire n7175;
   wire n7176;
   wire n7177;
   wire n7178;
   wire n7179;
   wire n7180;
   wire n7181;
   wire n7182;
   wire n7183;
   wire n7184;
   wire n7185;
   wire n7186;
   wire n7187;
   wire n7188;
   wire n7189;
   wire n7190;
   wire n7193;
   wire n7194;
   wire n7195;
   wire n7196;
   wire n7197;
   wire n7198;
   wire n7199;
   wire n7200;
   wire n7201;
   wire n7202;
   wire n7203;
   wire n7204;
   wire n7205;
   wire n7206;
   wire n7207;
   wire n7208;
   wire n7209;
   wire n7210;
   wire n7211;
   wire n7212;
   wire n7214;
   wire n7231;
   wire n7246;
   wire n7247;
   wire n7248;
   wire n7249;
   wire n7250;
   wire n7251;
   wire n7253;
   assign n621 = DATA[0];
   assign n622 = DATA[1];
   assign n623 = DATA[2];
   assign n624 = DATA[3];
   assign n625 = DATA[4];
   assign n626 = DATA[5];
   assign n627 = DATA[6];
   assign n628 = DATA[7];
   assign n629 = DATA[8];
   assign n630 = DATA[9];
   assign n631 = DATA[10];
   assign n632 = DATA[11];
   assign n633 = DATA[12];
   assign n634 = DATA[13];
   assign n635 = DATA[14];
   assign n636 = DATA[15];
   assign n637 = DATA[16];
   assign n638 = DATA[17];
   assign n639 = DATA[18];
   assign n640 = DATA[19];
   assign n641 = DATA[20];
   assign n642 = DATA[21];
   assign n643 = DATA[22];
   assign n644 = DATA[23];
   assign n645 = DATA[24];
   assign n646 = DATA[25];
   assign n647 = DATA[26];
   assign n648 = DATA[27];
   assign n649 = DATA[28];
   assign n650 = DATA[29];
   assign n651 = DATA[30];
   assign n652 = DATA[31];
   assign n8 = WE;
   assign n3 = A[0];
   assign n4 = A[1];
   assign n5 = A[2];
   assign n6 = A[3];
   assign n7 = A[4];
   assign n659 = CLK;
   assign n660 = CE;
   assign RDY = n661;
   assign SINE[0] = n662;
   assign SINE[1] = n663;
   assign SINE[2] = n664;
   assign SINE[3] = n665;
   assign SINE[4] = n666;
   assign SINE[5] = n667;
   assign SINE[6] = n668;
   assign SINE[7] = n669;
   assign SINE[8] = n670;
   assign SINE[9] = n671;
   assign SINE[10] = n672;
   assign SINE[11] = n673;
   assign SINE[12] = n674;
   assign SINE[13] = n675;
   assign SINE[14] = n676;
   assign SINE[15] = n677;
   assign SINE[16] = n678;
   assign SINE[17] = n679;
   assign SINE[18] = n680;
   assign SINE[19] = n681;
   assign COSINE[0] = n682;
   assign COSINE[1] = n683;
   assign COSINE[2] = n684;
   assign COSINE[3] = n685;
   assign COSINE[4] = n686;
   assign COSINE[5] = n687;
   assign COSINE[6] = n688;
   assign COSINE[7] = n689;
   assign COSINE[8] = n690;
   assign COSINE[9] = n691;
   assign COSINE[10] = n692;
   assign COSINE[11] = n693;
   assign COSINE[12] = n694;
   assign COSINE[13] = n695;
   assign COSINE[14] = n696;
   assign COSINE[15] = n697;
   assign COSINE[16] = n698;
   assign COSINE[17] = n699;
   assign COSINE[18] = n700;
   assign COSINE[19] = n701;

      wire [5 : 0] BU2_I;
         assign BU2_I[0] = n3;
         assign BU2_I[1] = n4;
         assign BU2_I[2] = n5;
         assign BU2_I[3] = n6;
         assign BU2_I[4] = n7;
         assign BU2_I[5] = n8;
      wire BU2_T;
         assign BU2_T = 1'b0;
      wire BU2_EN;
         assign BU2_EN = 1'b0;
      wire BU2_Q;
      wire BU2_CLK;
         assign BU2_CLK = 1'b0;
      wire BU2_CE;
         assign BU2_CE = 1'b0;
      wire BU2_ACLR;
         assign BU2_ACLR = 1'b0;
      wire BU2_ASET;
         assign BU2_ASET = 1'b0;
      wire BU2_AINIT;
         assign BU2_AINIT = 1'b0;
      wire BU2_SCLR;
         assign BU2_SCLR = 1'b0;
      wire BU2_SSET;
         assign BU2_SSET = 1'b0;
      wire BU2_SINIT;
         assign BU2_SINIT = 1'b0;
      wire BU2_O;
         assign n2 = BU2_O;
      C_GATE_BIT_V7_0 #(
         "0"    /* c_ainit_val*/,
         0    /* c_enable_rlocs*/,
         0    /* c_gate_type*/,
         0    /* c_has_aclr*/,
         0    /* c_has_ainit*/,
         0    /* c_has_aset*/,
         0    /* c_has_ce*/,
         1    /* c_has_o*/,
         1    /* c_has_q*/,
         0    /* c_has_sclr*/,
         0    /* c_has_sinit*/,
         0    /* c_has_sset*/,
         6    /* c_inputs*/,
         "011111"    /* c_input_inv_mask*/,
         0    /* c_pipe_stages*/,
         "0"    /* c_sinit_val*/,
         0    /* c_sync_enable*/,
         1    /* c_sync_priority*/
      )
      BU2(
         .I(BU2_I),
         .T(BU2_T),
         .EN(BU2_EN),
         .Q(BU2_Q),
         .CLK(BU2_CLK),
         .CE(BU2_CE),
         .ACLR(BU2_ACLR),
         .ASET(BU2_ASET),
         .AINIT(BU2_AINIT),
         .SCLR(BU2_SCLR),
         .SSET(BU2_SSET),
         .SINIT(BU2_SINIT),
         .O(BU2_O)
      );

      wire [31 : 0] BU12_D;
         assign BU12_D[0] = n621;
         assign BU12_D[1] = n622;
         assign BU12_D[2] = n623;
         assign BU12_D[3] = n624;
         assign BU12_D[4] = n625;
         assign BU12_D[5] = n626;
         assign BU12_D[6] = n627;
         assign BU12_D[7] = n628;
         assign BU12_D[8] = n629;
         assign BU12_D[9] = n630;
         assign BU12_D[10] = n631;
         assign BU12_D[11] = n632;
         assign BU12_D[12] = n633;
         assign BU12_D[13] = n634;
         assign BU12_D[14] = n635;
         assign BU12_D[15] = n636;
         assign BU12_D[16] = n637;
         assign BU12_D[17] = n638;
         assign BU12_D[18] = n639;
         assign BU12_D[19] = n640;
         assign BU12_D[20] = n641;
         assign BU12_D[21] = n642;
         assign BU12_D[22] = n643;
         assign BU12_D[23] = n644;
         assign BU12_D[24] = n645;
         assign BU12_D[25] = n646;
         assign BU12_D[26] = n647;
         assign BU12_D[27] = n648;
         assign BU12_D[28] = n649;
         assign BU12_D[29] = n650;
         assign BU12_D[30] = n651;
         assign BU12_D[31] = n652;
      wire [31 : 0] BU12_Q;
         assign n758 = BU12_Q[0];
         assign n759 = BU12_Q[1];
         assign n760 = BU12_Q[2];
         assign n761 = BU12_Q[3];
         assign n762 = BU12_Q[4];
         assign n763 = BU12_Q[5];
         assign n764 = BU12_Q[6];
         assign n765 = BU12_Q[7];
         assign n766 = BU12_Q[8];
         assign n767 = BU12_Q[9];
         assign n768 = BU12_Q[10];
         assign n769 = BU12_Q[11];
         assign n770 = BU12_Q[12];
         assign n771 = BU12_Q[13];
         assign n772 = BU12_Q[14];
         assign n773 = BU12_Q[15];
         assign n774 = BU12_Q[16];
         assign n775 = BU12_Q[17];
         assign n776 = BU12_Q[18];
         assign n777 = BU12_Q[19];
         assign n778 = BU12_Q[20];
         assign n779 = BU12_Q[21];
         assign n780 = BU12_Q[22];
         assign n781 = BU12_Q[23];
         assign n782 = BU12_Q[24];
         assign n783 = BU12_Q[25];
         assign n784 = BU12_Q[26];
         assign n785 = BU12_Q[27];
         assign n786 = BU12_Q[28];
         assign n787 = BU12_Q[29];
         assign n788 = BU12_Q[30];
         assign n789 = BU12_Q[31];
      wire BU12_CLK;
         assign BU12_CLK = n659;
      wire BU12_CE;
         assign BU12_CE = n2;
      C_REG_FD_V7_0 #(
         "00000000000000000000000000000000"    /* c_ainit_val*/,
         0    /* c_enable_rlocs*/,
         0    /* c_has_aclr*/,
         0    /* c_has_ainit*/,
         0    /* c_has_aset*/,
         1    /* c_has_ce*/,
         0    /* c_has_sclr*/,
         0    /* c_has_sinit*/,
         0    /* c_has_sset*/,
         "00000000000000000000000000000000"    /* c_sinit_val*/,
         0    /* c_sync_enable*/,
         0    /* c_sync_priority*/,
         32    /* c_width*/
      )
      BU12(
         .D(BU12_D),
         .Q(BU12_Q),
         .CLK(BU12_CLK),
         .CE(BU12_CE)
      );
Next12345
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Sun Dec 11 13:11:06 2005
From: ./dds_20bit.v

Verilog converted to html by v2html 7.30 (written by Costas Calamvokis).Help