My Process:

Machines by Lab: TRL

TRL is mainly the 4th floor of bldg 39, with some exceptions
View All

ProcessLabToolProcess CategorySubcategoryDescription
Color Code
  +  
TRL 2Dtransfer-platingHood WetAcids Electroplating wet bench and fume hood
Red
  +  
TRL A1-GateOx DiffusionOxidation Thermal Oxidation for Green Silicon Wafers
Green
  +  
TRL A2-WetOxBond DiffusionOxidation Thermal Oxidation and other thermal process for GREEN Si wafers
Green
  +  
TRL A3-Sinter DiffusionBake Sintering for GREEN Si wafers
Green
  +  
TRL A4-III-Vanneal DiffusionBake Annealing for GREEN III-V samples
Red
  +  
TRL acid-hood WetAcids Acid processing station
Red &Green
  +  
TRL AJA-TRL DepositionSputter Sputter deposition tool
Red
  +  
TRL asherMatrix-TRL PhotoClean Single wafer oxygen plasma for photoresist removal
Red
  +  
TRL asher-TRL PhotoClean Barrel asher for resist removal
Red &Green
  +  
TRL B1-Au DiffusionOxidation Gold compatible anneal tube
Red
  +  
TRL B2-Ox-alloy-Poly DiffusionOxidation Thermal Oxidation and LPCVD Polysilicon for RED wafers
Green
  +  
TRL B3-DryOx DiffusionOxidation Thermal Oxidation
Green
  +  
TRL B4-Poly DiffusionCVD LPCVD polysilicon for Green wafers
Green
  +  
TRL Balzer-Elionix DepositionSputter SEM or EBL sample preparation
Red
  +  
TRL CCNT DepositionPECVD Carbon nanotube growth
Red
  +  
TRL coater PhotoCoat Manual spin-coater for photoresists
Red &Green
  +  
TRL dek-NoAu MetrologyProfile Stylus Profilerometer
Green
  +  
TRL dektak-XT MetrologyProfile Stylus Profilerometer
Red
  +  
TRL develop-Brewer PhotoCoat Resist develop and postbake
Red &Green
  +  
TRL eBeamAu DepositionEvaporate Metal evaporator
Red
  +  
TRL eBeamFP DepositionEvaporate Fast pumping metal evaporator
Red
  +  
TRL ellipsometer-TRL MetrologyThickness Thin film thickness measurement
Red &Green
  +  
TRL EV1 PhotoExpose Contact mask aligner
Red &Green
  +  
TRL EV501 PhotoBond Bonder system to apply heat, vacuum and pressure
Red &Green
  +  
TRL EV620 PhotoBond Aligner for bonding
Red &Green
  +  
TRL EV-LC PhotoExpose Contact mask aligner
Red &Green
  +  
TRL Filmetrics-TRL MetrologyThickness Thin film thickness measurement
Red &Green
  +  
TRL FLX MetrologyProfile Thin film stress measurement
Red &Green
  +  
TRL Greenflo WetAcids Acid processing station
Red &Green
  +  
TRL Hall-probe MetrologyElectrical Carrier measurement
Red
  +  
TRL Heidelberg PhotoExpose Laser direct-write exposure for wafers and masks
Red &Green
  +  
TRL HMDS-TRL PhotoBake HMDS oven
Red &Green
  +  
TRL hotplate1 PhotoBake Hotplate for lithography
Red &Green
  +  
TRL hotplate2 PhotoBake Hotplate for lithography
Red &Green
  +  
TRL hotplate300 PhotoBake Hotplate for lithography
Red &Green
  +  
TRL IV-probe MetrologyElectrical Probe station with curve tracer for IV measurement
Red
  +  
TRL LAM590-TRL EtchRIE Fluorine based plasma etching of oxide and nitrides
Red
  +  
TRL MA-6 PhotoExpose Contact mask aligner
Red &Green
  +  
TRL MLA-150 PhotoExpose Direct-write lithography for wafers and larger pieces
Red &Green
  +  
TRL nanospec MetrologyThickness Thin film thickness measurement
Red &Green
  +  
TRL OAI-Flood PhotoExpose Flood exposure for image reversal resists
Red &Green
  +  
TRL parylene DepositionCVD Parylene depopsition
Red
  +  
TRL photo-wet-Au WetSolvents Solvent fume hood with sonicator
Red &Green
  +  
TRL photo-wet-l WetSolvents Wetbench for photoresist development
Red &Green
  +  
TRL photo-wet-r WetSolvents Wetbench for photoresist development
Red &Green
  +  
TRL plasmaquest EtchRIE Fluorine and Chlorine general purpose plasma deposition and etch tool
Red
  +  
TRL PMMAspinner PhotoCoat Manual coater for PMMA and other photoresists
Red &Green
  +  
TRL postbake PhotoBake Bake oven 120C
Red &Green
  +  
TRL prebakeovn PhotoBake Bake oven 90C
Red &Green
  +  
TRL PZTcoater DepositionSpin-Coat Coater to apply PZT films
Red
  +  
TRL PZTfurnace DepositionBake Bake oven for PZT coated wafers
Red
  +  
TRL rca-TRL DiffusionClean Wafer cleaning before diffusion tubes
Green
  +  
TRL Resonetics PhotoExpose Laser ablation system
Red
  +  
TRL RTA-HiT DiffusionBake Rapid Thermal Annealing
Red
  +  
TRL SAMCO EtchRIE Chlorine based plasma etcher for III-V materials
Red
  +  
TRL SolventHood-TRL WetSolvents Solvent fume hood
Red &Green
  +  
TRL sts1 EtchDRIE Deep reactive ion etcher for silicon
Red
  +  
TRL sts2 EtchDRIE Deep reactive ion etcher for silicon
Green
  +  
TRL sts-CVD DepositionPECVD PECVD deposition of oxides, nitrides, a-Si, SiC, and P-doped a-Si
Red
  +  
TRL sts-Pegasus EtchDRIE Deep reactive ion etcher for silicon
Red
  +  
TRL SU8oven PhotoBake Oven to dry foil from SU8 coater
Purple
  +  
TRL SU8spinner PhotoCoat Manual spin-coater for SU8 resists
Purple
  +  
TRL TBM-8 PhotoBond Front-to-back alignement measurement
Red &Green
  +  
TRL UVozone-Au EtchClean Cleans residual organics
Red
  +  
TRL varTemp PhotoBake Bake oven for variable temperature
Red
  +  
TRL WYKO MetrologyProfile Optical profiling system
Red &Green
  +  
TRL XeF2 EtchRIE XeF2 isotropic etching of silicon
Red