My Process:

Machines by General Keyword: multiple pieces

In a single process step, many pieces can be processed, usually as much as you can fit onto a 6" disk
View All

ProcessLabToolProcess CategorySubcategoryDescription
Color Code
  +  
EBL Elionix PhotoExpose Electron beam lithography system
Red &Green
  +  
EML acid-hood-EML WetSolvents General Purpose Acid Fume-Hood
EML
  +  
EML ALD-EML DepositionCVD Atomic Layer Deposition
EML
  +  
EML anneal-furnace DiffusionBake Anneal Furnace
EML
  +  
EML asher-EML PhotoClean Oxygen Plasma
EML
  +  
EML Box-Furnace DiffusionBake Anneal Furnace
EML
  +  
EML eBeam-AJA DepositionEvaporate Metal and dielectric evaporator
EML
  +  
EML OxidationTube DiffusionOxidation Oxidation Furnace
EML
  +  
EML photo-hood-EML WetSolvents Solvent Fume hood for lithography
EML
  +  
EML RTA-EML DiffusionBake Rapid Thermal Annealing
EML
  +  
EML SolventHood-EML WetSolvents Solvent Fume hood for lithography
EML
  +  
EML SputtererAJA DepositionSputter Sputter deposition tool
EML
  +  
ICL 5A-GateOx DiffusionOxidation Diffusion tube for gate oxide growth
Green
  +  
ICL 5B-Anneal DiffusionBake Annealing tube
Green
  +  
ICL 5C-FieldOx DiffusionOxidation Diffusion tube for wet oxide growth of thicker films
Green
  +  
ICL 5D-ThickOx DiffusionOxidation Diffusion tube for wet oxide growth of thicker films
Green
  +  
ICL 6A-nPoly DiffusionCVD Polysilicon deposition tube for n-type poly Si
Green
  +  
ICL 6B-Poly DiffusionCVD Polysilicon deposition tube for p-type poly Si
Green
  +  
ICL 6C-LTO DiffusionCVD Low temperature CVD oxide deposition
Green
  +  
ICL 6D-Nitride DiffusionCVD Deposition of stoichiometric silicon nitride
Green
  +  
ICL ALD DepositionCVD Atomic Layer Deposition
Red
  +  
ICL ALD-Oxford DepositionCVD, PECVD Atomic Layer Deposition
Green
  +  
ICL goldwire PackagingPhysical Gold ball bonder for device packaging
Red
  +  
ICL Oxford-100_PECVD Deposition, EtchPECVD Dual chamber PECVD and plasma etch tool
Red
  +  
ICL Oxford-100_Etch Deposition, EtchRIE Dual chamber PECVD and plasma etch tool
Red
  +  
ICL RTA2 DiffusionBake Rapid Thermal Annealing
Green
  +  
ICL RTA-pieces DiffusionBake Rapid Thermal Annealing
Red
  +  
ICL semZeiss MetrologySEM Scanning Electron Microscope
Red &Green
  +  
ICL TMAH-KOHhood WetAcids Silicon bulk wet etching
Red &Green
  +  
TRL A1-GateOx DiffusionOxidation Thermal Oxidation for Green Silicon Wafers
Green
  +  
TRL A2-WetOxBond DiffusionOxidation Thermal Oxidation and other thermal process for GREEN Si wafers
Green
  +  
TRL A3-Sinter DiffusionBake Sintering for GREEN Si wafers
Green
  +  
TRL A4-III-Vanneal DiffusionBake Annealing for GREEN III-V samples
Red
  +  
TRL acid-hood WetAcids Acid processing station
Red &Green
  +  
TRL asher-TRL PhotoClean Barrel asher for resist removal
Red &Green
  +  
TRL B1-Au DiffusionOxidation Gold compatible anneal tube
Red
  +  
TRL B2-Ox-alloy-Poly DiffusionOxidation Thermal Oxidation and LPCVD Polysilicon for RED wafers
Green
  +  
TRL B3-DryOx DiffusionOxidation Thermal Oxidation
Green
  +  
TRL B4-Poly DiffusionCVD LPCVD polysilicon for Green wafers
Green
  +  
TRL CCNT DepositionPECVD Carbon nanotube growth
Red
  +  
TRL eBeamAu DepositionEvaporate Metal evaporator
Red
  +  
TRL eBeamFP DepositionEvaporate Fast pumping metal evaporator
Red
  +  
TRL Greenflo WetAcids Acid processing station
Red &Green
  +  
TRL HMDS-TRL PhotoBake HMDS oven
Red &Green
  +  
TRL hotplate1 PhotoBake Hotplate for lithography
Red &Green
  +  
TRL hotplate2 PhotoBake Hotplate for lithography
Red &Green
  +  
TRL hotplate300 PhotoBake Hotplate for lithography
Red &Green
  +  
TRL photo-wet-Au WetSolvents Solvent fume hood with sonicator
Red &Green
  +  
TRL photo-wet-l WetSolvents Wetbench for photoresist development
Red &Green
  +  
TRL photo-wet-r WetSolvents Wetbench for photoresist development
Red &Green
  +  
TRL plasmaquest EtchRIE Fluorine and Chlorine general purpose plasma deposition and etch tool
Red
  +  
TRL postbake PhotoBake Bake oven 120C
Red &Green
  +  
TRL prebakeovn PhotoBake Bake oven 90C
Red &Green
  +  
TRL PZTfurnace DepositionBake Bake oven for PZT coated wafers
Red
  +  
TRL RTA-HiT DiffusionBake Rapid Thermal Annealing
Red
  +  
TRL SAMCO EtchRIE Chlorine based plasma etcher for III-V materials
Red
  +  
TRL SolventHood-TRL WetSolvents Solvent fume hood
Red &Green
  +  
TRL sts-CVD DepositionPECVD PECVD deposition of oxides, nitrides, a-Si, SiC, and P-doped a-Si
Red
  +  
TRL UVozone-Au EtchClean Cleans residual organics
Red
  +  
TRL varTemp PhotoBake Bake oven for variable temperature
Red
  +  
TRL XeF2 EtchRIE XeF2 isotropic etching of silicon
Red