My Process:

Machines by General Keyword: single wafer

Only one wafer can be processed by the tool (that is, no cassettes or batch processing possible)
View All

ProcessLabToolProcess CategorySubcategoryDescription
Color Code
  +  
EBL Elionix PhotoExpose Electron beam lithography system
Red &Green
  +  
EML acid-hood-EML WetSolvents General Purpose Acid Fume-Hood
EML
  +  
EML ALD-EML DepositionCVD Atomic Layer Deposition
EML
  +  
EML asher-EML PhotoClean Oxygen Plasma
EML
  +  
EML coater-EML PhotoCoat Photoresist Coater
EML
  +  
EML dektak-EML MetrologyProfile Surface Profilerometer
EML
  +  
EML eBeam-AJA DepositionEvaporate Metal and dielectric evaporator
EML
  +  
EML filmetrics MetrologyThickness Thin Film Optical Measurement
EML
  +  
EML hotpress PhotoBond Pressing for thermoplastic films
EML
  +  
EML MA-4 PhotoExpose Contact Lithography Mask Aligner
EML
  +  
EML parametric-tester MetrologyElectrical Probe station for electrical measurements
EML
  +  
EML photo-hood-EML WetSolvents Solvent Fume hood for lithography
EML
  +  
EML plasmatherm Deposition, EtchPECVD, RIE Plasma Etch and Deposition
EML
  +  
EML RTA-EML DiffusionBake Rapid Thermal Annealing
EML
  +  
EML semNeo MetrologySEM Very Basic Electron Microscope
EML
  +  
EML SolventHood-EML WetSolvents Solvent Fume hood for lithography
EML
  +  
EML SputtererAJA DepositionSputter Sputter deposition tool
EML
  +  
TRL 2Dtransfer-platingHood WetAcids Electroplating wet bench and fume hood
Red
  +  
ICL 4-pt-probe MetrologyElectrical Sheet resistance measurement of semiconductors
Red
  +  
ICL AFM MetrologyProfile Atomic Force Microscope for Surface Analysis
Red &Green
  +  
ICL ALD DepositionCVD Atomic Layer Deposition
Red
  +  
ICL ALD-Oxford DepositionCVD, PECVD Atomic Layer Deposition
Green
  +  
ICL cv MetrologyElectrical Electrical characterization of dielectrics
Green
  +  
ICL diesaw PackagingPhysical Wafer dicing saw
Red
  +  
ICL diesaw-3240 PackagingPhysical Wafer dicing saw
Red
  +  
ICL GnP WetOther Chemical Mechanical Polishing to planarize surfaces
Red &Green
  +  
ICL Oxford-100_PECVD Deposition, EtchPECVD Dual chamber PECVD and plasma etch tool
Red
  +  
ICL Oxford-100_Etch Deposition, EtchRIE Dual chamber PECVD and plasma etch tool
Red
  +  
ICL P10 MetrologyProfile Stylus profilerometer
Green
  +  
ICL RTA2 DiffusionBake Rapid Thermal Annealing
Green
  +  
ICL RTA-pieces DiffusionBake Rapid Thermal Annealing
Red
  +  
ICL semZeiss MetrologySEM Scanning Electron Microscope
Red &Green
  +  
ICL SM-300 MetrologyThickness Thickness measurement for CMP processing
Red &Green
  +  
ICL wykoICL MetrologyProfile Optical profiling system
Green
  +  
TRL AJA-TRL DepositionSputter Sputter deposition tool
Red
  +  
TRL CCNT DepositionPECVD Carbon nanotube growth
Red
  +  
TRL coater PhotoCoat Manual spin-coater for photoresists
Red &Green
  +  
TRL dek-NoAu MetrologyProfile Stylus Profilerometer
Green
  +  
TRL dektak-XT MetrologyProfile Stylus Profilerometer
Red
  +  
TRL develop-Brewer PhotoCoat Resist develop and postbake
Red &Green
  +  
TRL ellipsometer-TRL MetrologyThickness Thin film thickness measurement
Red &Green
  +  
TRL EV1 PhotoExpose Contact mask aligner
Red &Green
  +  
TRL EV501 PhotoBond Bonder system to apply heat, vacuum and pressure
Red &Green
  +  
TRL EV620 PhotoBond Aligner for bonding
Red &Green
  +  
TRL EV-LC PhotoExpose Contact mask aligner
Red &Green
  +  
TRL Filmetrics-TRL MetrologyThickness Thin film thickness measurement
Red &Green
  +  
TRL FLX MetrologyProfile Thin film stress measurement
Red &Green
  +  
TRL Hall-probe MetrologyElectrical Carrier measurement
Red
  +  
TRL Heidelberg PhotoExpose Laser direct-write exposure for wafers and masks
Red &Green
  +  
TRL hotplate1 PhotoBake Hotplate for lithography
Red &Green
  +  
TRL hotplate2 PhotoBake Hotplate for lithography
Red &Green
  +  
TRL hotplate300 PhotoBake Hotplate for lithography
Red &Green
  +  
TRL IV-probe MetrologyElectrical Probe station with curve tracer for IV measurement
Red
  +  
TRL MA-6 PhotoExpose Contact mask aligner
Red &Green
  +  
TRL MLA-150 PhotoExpose Direct-write lithography for wafers and larger pieces
Red &Green
  +  
TRL nanospec MetrologyThickness Thin film thickness measurement
Red &Green
  +  
TRL OAI-Flood PhotoExpose Flood exposure for image reversal resists
Red &Green
  +  
TRL parylene DepositionCVD Parylene depopsition
Red
  +  
TRL photo-wet-Au WetSolvents Solvent fume hood with sonicator
Red &Green
  +  
TRL photo-wet-l WetSolvents Wetbench for photoresist development
Red &Green
  +  
TRL photo-wet-r WetSolvents Wetbench for photoresist development
Red &Green
  +  
TRL plasmaquest EtchRIE Fluorine and Chlorine general purpose plasma deposition and etch tool
Red
  +  
TRL PMMAspinner PhotoCoat Manual coater for PMMA and other photoresists
Red &Green
  +  
TRL PZTcoater DepositionSpin-Coat Coater to apply PZT films
Red
  +  
TRL PZTfurnace DepositionBake Bake oven for PZT coated wafers
Red
  +  
TRL Resonetics PhotoExpose Laser ablation system
Red
  +  
TRL RTA-HiT DiffusionBake Rapid Thermal Annealing
Red
  +  
TRL SAMCO EtchRIE Chlorine based plasma etcher for III-V materials
Red
  +  
TRL SolventHood-TRL WetSolvents Solvent fume hood
Red &Green
  +  
TRL sts1 EtchDRIE Deep reactive ion etcher for silicon
Red
  +  
TRL sts2 EtchDRIE Deep reactive ion etcher for silicon
Green
  +  
TRL sts-CVD DepositionPECVD PECVD deposition of oxides, nitrides, a-Si, SiC, and P-doped a-Si
Red
  +  
TRL SU8spinner PhotoCoat Manual spin-coater for SU8 resists
Purple
  +  
TRL TBM-8 PhotoBond Front-to-back alignement measurement
Red &Green
  +  
TRL UVozone-Au EtchClean Cleans residual organics
Red
  +  
TRL WYKO MetrologyProfile Optical profiling system
Red &Green
  +  
TRL XeF2 EtchRIE XeF2 isotropic etching of silicon
Red