My Process:

Machines by Sample Size: 6

The standard 150mm (or 6") wafers sizes used at MTL. Note that most wafers are actually 150mm, and not true 6". Wafer flats are required for some tools. Almost all tools can accommodate these wafers.
View All

ProcessLabToolKeywords0Description
Color Code
  +  
EBL Elionix ERRORERROR Electron beam lithography system
Red &Green
  +  
EML acid-hood-EML ERRORERROR General Purpose Acid Fume-Hood
EML
  +  
EML ALD-EML ERRORERROR Atomic Layer Deposition
EML
  +  
EML Box-Furnace ERRORERROR Anneal Furnace
EML
  +  
EML coater-EML ERRORERROR Photoresist Coater
EML
  +  
EML dektak-EML ERRORERROR Surface Profilerometer
EML
  +  
EML eBeam-AJA ERRORERROR Metal and dielectric evaporator
EML
  +  
EML filmetrics ERRORERROR Thin Film Optical Measurement
EML
  +  
EML parametric-tester ERRORERROR Probe station for electrical measurements
EML
  +  
EML photo-hood-EML ERRORERROR Solvent Fume hood for lithography
EML
  +  
EML plasmatherm ERRORERROR Plasma Etch and Deposition
EML
  +  
EML SolventHood-EML ERRORERROR Solvent Fume hood for lithography
EML
  +  
EML SputtererAJA ERRORERROR Sputter deposition tool
EML
  +  
EML vac-oven ERRORERROR Temperature controlled vacuum oven
EML
  +  
TRL 2Dtransfer-platingHood ERRORERROR Electroplating wet bench and fume hood
Red
  +  
ICL 4-pt-probe ERRORERROR Sheet resistance measurement of semiconductors
Red
  +  
ICL 5A-GateOx ERRORERROR Diffusion tube for gate oxide growth
Green
  +  
ICL 5B-Anneal ERRORERROR Annealing tube
Green
  +  
ICL 5C-FieldOx ERRORERROR Diffusion tube for wet oxide growth of thicker films
Green
  +  
ICL 5D-ThickOx ERRORERROR Diffusion tube for wet oxide growth of thicker films
Green
  +  
ICL 6A-nPoly ERRORERROR Polysilicon deposition tube for n-type poly Si
Green
  +  
ICL 6B-Poly ERRORERROR Polysilicon deposition tube for p-type poly Si
Green
  +  
ICL 6C-LTO ERRORERROR Low temperature CVD oxide deposition
Green
  +  
ICL 6D-Nitride ERRORERROR Deposition of stoichiometric silicon nitride
Green
  +  
ICL AFM ERRORERROR Atomic Force Microscope for Surface Analysis
Red &Green
  +  
ICL ALD ERRORERROR Atomic Layer Deposition
Red
  +  
ICL ALD-Oxford ERRORERROR Atomic Layer Deposition
Green
  +  
ICL AME5000 ERRORERROR RIE etcher for frontend silicon processes
Green
  +  
ICL asher-ICL ERRORERROR Single wafer oxygen plasma for photoresist removal
Green
  +  
ICL pTrack ERRORERROR Automated coater and developer track
Green
  +  
ICL concept1 ERRORERROR PECVD deposition of oxides, nitrides, and TEOS
Green
  +  
ICL cv ERRORERROR Electrical characterization of dielectrics
Green
  +  
ICL DCVD ERRORERROR PECVD deposition of oxides, nitrides, and a-Si
Green
  +  
ICL diesaw ERRORERROR Wafer dicing saw
Red
  +  
ICL diesaw-3240 ERRORERROR Wafer dicing saw
Red
  +  
ICL eBeam-EVO ERRORERROR Metal evaporator of CMOS compatible metals
Green
  +  
ICL endura ERRORERROR Metal sputter deposition
Green
  +  
ICL epi-Centura ERRORERROR Not open to public
Green
  +  
ICL GnP ERRORERROR Chemical Mechanical Polishing to planarize surfaces
Red &Green
  +  
ICL goldwire ERRORERROR Gold ball bonder for device packaging
Red
  +  
ICL i-stepper ERRORERROR i-line stepper
Red &Green
  +  
ICL LAM490B ERRORERROR Chlorine based plasma etching of silicon
Green
  +  
ICL LAM590-ICL ERRORERROR Fluorine based plasma etching of silicon oxide and nitrides
Green
  +  
ICL nitrEtch-HotPhos ERRORERROR Hot phosphoric nitride etch bath
Green
  +  
ICL oxEtch-BOE ERRORERROR Silicon dioxide etch bath
Green
  +  
ICL Oxford-100_PECVD ERRORERROR Dual chamber PECVD and plasma etch tool
Red
  +  
ICL Oxford-100_Etch ERRORERROR Dual chamber PECVD and plasma etch tool
Red
  +  
ICL P10 ERRORERROR Stylus profilerometer
Green
  +  
ICL premetal-Piranha ERRORERROR Piranha resist removal and cleaning station
Green
  +  
ICL rainbow ERRORERROR Chlorine based plasma etcher for metals
Green
  +  
ICL rca-ICL ERRORERROR Wafer cleaning before diffusion tubes
Green
  +  
ICL RTA2 ERRORERROR Rapid Thermal Annealing
Green
  +  
ICL RTA-pieces ERRORERROR Rapid Thermal Annealing
Red
  +  
ICL RTP ERRORERROR Rapid Thermal Annealing
Green
  +  
ICL RTP-Si ERRORERROR Rapid Thermal Annealing
Green
  +  
ICL semZeiss ERRORERROR Scanning Electron Microscope
Red &Green
  +  
ICL SM-300 ERRORERROR Thickness measurement for CMP processing
Red &Green
  +  
ICL TMAH-KOHhood ERRORERROR Silicon bulk wet etching
Red &Green
  +  
ICL UV1280 ERRORERROR Thin film characterization
Green
  +  
ICL VTR ERRORERROR Low stress silicon nitride deposition
Green
  +  
ICL wykoICL ERRORERROR Optical profiling system
Green
  +  
TRL A1-GateOx ERRORERROR Thermal Oxidation for Green Silicon Wafers
Green
  +  
TRL A2-WetOxBond ERRORERROR Thermal Oxidation and other thermal process for GREEN Si wafers
Green
  +  
TRL A3-Sinter ERRORERROR Sintering for GREEN Si wafers
Green
  +  
TRL A4-III-Vanneal ERRORERROR Annealing for GREEN III-V samples
Red
  +  
TRL acid-hood ERRORERROR Acid processing station
Red &Green
  +  
TRL AJA-TRL ERRORERROR Sputter deposition tool
Red
  +  
TRL asherMatrix-TRL ERRORERROR Single wafer oxygen plasma for photoresist removal
Red
  +  
TRL asher-TRL ERRORERROR Barrel asher for resist removal
Red &Green
  +  
TRL B1-Au ERRORERROR Gold compatible anneal tube
Red
  +  
TRL B2-Ox-alloy-Poly ERRORERROR Thermal Oxidation and LPCVD Polysilicon for RED wafers
Green
  +  
TRL B3-DryOx ERRORERROR Thermal Oxidation
Green
  +  
TRL B4-Poly ERRORERROR LPCVD polysilicon for Green wafers
Green
  +  
TRL CCNT ERRORERROR Carbon nanotube growth
Red
  +  
TRL coater ERRORERROR Manual spin-coater for photoresists
Red &Green
  +  
TRL dek-NoAu ERRORERROR Stylus Profilerometer
Green
  +  
TRL dektak-XT ERRORERROR Stylus Profilerometer
Red
  +  
TRL develop-Brewer ERRORERROR Resist develop and postbake
Red &Green
  +  
TRL eBeamAu ERRORERROR Metal evaporator
Red
  +  
TRL eBeamFP ERRORERROR Fast pumping metal evaporator
Red
  +  
TRL ellipsometer-TRL ERRORERROR Thin film thickness measurement
Red &Green
  +  
TRL EV1 ERRORERROR Contact mask aligner
Red &Green
  +  
TRL EV501 ERRORERROR Bonder system to apply heat, vacuum and pressure
Red &Green
  +  
TRL EV620 ERRORERROR Aligner for bonding
Red &Green
  +  
TRL EV-LC ERRORERROR Contact mask aligner
Red &Green
  +  
TRL Filmetrics-TRL ERRORERROR Thin film thickness measurement
Red &Green
  +  
TRL FLX ERRORERROR Thin film stress measurement
Red &Green
  +  
TRL Greenflo ERRORERROR Acid processing station
Red &Green
  +  
TRL Hall-probe ERRORERROR Carrier measurement
Red
  +  
TRL Heidelberg ERRORERROR Laser direct-write exposure for wafers and masks
Red &Green
  +  
TRL HMDS-TRL ERRORERROR HMDS oven
Red &Green
  +  
TRL hotplate1 ERRORERROR Hotplate for lithography
Red &Green
  +  
TRL hotplate2 ERRORERROR Hotplate for lithography
Red &Green
  +  
TRL hotplate300 ERRORERROR Hotplate for lithography
Red &Green
  +  
TRL IV-probe ERRORERROR Probe station with curve tracer for IV measurement
Red
  +  
TRL LAM590-TRL ERRORERROR Fluorine based plasma etching of oxide and nitrides
Red
  +  
TRL MA-6 ERRORERROR Contact mask aligner
Red &Green
  +  
TRL MLA-150 ERRORERROR Direct-write lithography for wafers and larger pieces
Red &Green
  +  
TRL nanospec ERRORERROR Thin film thickness measurement
Red &Green
  +  
TRL OAI-Flood ERRORERROR Flood exposure for image reversal resists
Red &Green
  +  
TRL parylene ERRORERROR Parylene depopsition
Red
  +  
TRL photo-wet-Au ERRORERROR Solvent fume hood with sonicator
Red &Green
  +  
TRL photo-wet-l ERRORERROR Wetbench for photoresist development
Red &Green
  +  
TRL photo-wet-r ERRORERROR Wetbench for photoresist development
Red &Green
  +  
TRL PMMAspinner ERRORERROR Manual coater for PMMA and other photoresists
Red &Green
  +  
TRL postbake ERRORERROR Bake oven 120C
Red &Green
  +  
TRL prebakeovn ERRORERROR Bake oven 90C
Red &Green
  +  
TRL PZTcoater ERRORERROR Coater to apply PZT films
Red
  +  
TRL PZTfurnace ERRORERROR Bake oven for PZT coated wafers
Red
  +  
TRL rca-TRL ERRORERROR Wafer cleaning before diffusion tubes
Green
  +  
TRL Resonetics ERRORERROR Laser ablation system
Red
  +  
TRL RTA-HiT ERRORERROR Rapid Thermal Annealing
Red
  +  
TRL SAMCO ERRORERROR Chlorine based plasma etcher for III-V materials
Red
  +  
TRL SolventHood-TRL ERRORERROR Solvent fume hood
Red &Green
  +  
TRL sts1 ERRORERROR Deep reactive ion etcher for silicon
Red
  +  
TRL sts2 ERRORERROR Deep reactive ion etcher for silicon
Green
  +  
TRL sts-CVD ERRORERROR PECVD deposition of oxides, nitrides, a-Si, SiC, and P-doped a-Si
Red
  +  
TRL sts-Pegasus ERRORERROR Deep reactive ion etcher for silicon
Red
  +  
TRL SU8spinner ERRORERROR Manual spin-coater for SU8 resists
Purple
  +  
TRL TBM-8 ERRORERROR Front-to-back alignement measurement
Red &Green
  +  
TRL UVozone-Au ERRORERROR Cleans residual organics
Red
  +  
TRL varTemp ERRORERROR Bake oven for variable temperature
Red
  +  
TRL WYKO ERRORERROR Optical profiling system
Red &Green
  +  
TRL XeF2 ERRORERROR XeF2 isotropic etching of silicon
Red
  +  
LEAP X-rayInspection ERRORERROR X-Ray imaging
Red
  +  
LEAP PlasmaCleaner ERRORERROR Plasma parts cleaning
Red
  +  
LEAP ReflowOven ERRORERROR Reflow oven
Red
  +  
LEAP QuickVisionMicroscope ERRORERROR Automated imaging microscope
Red