My Process:

Machines by General Keyword: manual load

These tools require the user to place the sample inside the process chamber manually, without a robot arm or other automated transfer mechanisms
View All

ProcessLabToolProcess CategorySubcategoryDescription
Color Code
  +  
EBL Elionix PhotoExpose Electron beam lithography system
Red &Green
  +  
EML acid-hood-EML WetSolvents General Purpose Acid Fume-Hood
EML
  +  
EML ALD-EML DepositionCVD Atomic Layer Deposition
EML
  +  
EML anneal-furnace DiffusionBake Anneal Furnace
EML
  +  
EML Box-Furnace DiffusionBake Anneal Furnace
EML
  +  
EML coater-EML PhotoCoat Photoresist Coater
EML
  +  
EML dektak-EML MetrologyProfile Surface Profilerometer
EML
  +  
EML eBeam-AJA DepositionEvaporate Metal and dielectric evaporator
EML
  +  
EML filmetrics MetrologyThickness Thin Film Optical Measurement
EML
  +  
EML hotpress PhotoBond Pressing for thermoplastic films
EML
  +  
EML MA-4 PhotoExpose Contact Lithography Mask Aligner
EML
  +  
EML OxidationTube DiffusionOxidation Oxidation Furnace
EML
  +  
EML parametric-tester MetrologyElectrical Probe station for electrical measurements
EML
  +  
EML photo-hood-EML WetSolvents Solvent Fume hood for lithography
EML
  +  
EML RTA-EML DiffusionBake Rapid Thermal Annealing
EML
  +  
EML semNeo MetrologySEM Very Basic Electron Microscope
EML
  +  
EML SolventHood-EML WetSolvents Solvent Fume hood for lithography
EML
  +  
EML SputtererAJA DepositionSputter Sputter deposition tool
EML
  +  
EML vac-oven DiffusionBake Temperature controlled vacuum oven
EML
  +  
ICL 4-pt-probe MetrologyElectrical Sheet resistance measurement of semiconductors
Red
  +  
ICL 5A-GateOx DiffusionOxidation Diffusion tube for gate oxide growth
Green
  +  
ICL 5B-Anneal DiffusionBake Annealing tube
Green
  +  
ICL 5C-FieldOx DiffusionOxidation Diffusion tube for wet oxide growth of thicker films
Green
  +  
ICL 5D-ThickOx DiffusionOxidation Diffusion tube for wet oxide growth of thicker films
Green
  +  
ICL 6A-nPoly DiffusionCVD Polysilicon deposition tube for n-type poly Si
Green
  +  
ICL 6B-Poly DiffusionCVD Polysilicon deposition tube for p-type poly Si
Green
  +  
ICL 6C-LTO DiffusionCVD Low temperature CVD oxide deposition
Green
  +  
ICL 6D-Nitride DiffusionCVD Deposition of stoichiometric silicon nitride
Green
  +  
ICL AFM MetrologyProfile Atomic Force Microscope for Surface Analysis
Red &Green
  +  
ICL ALD DepositionCVD Atomic Layer Deposition
Red
  +  
ICL cv MetrologyElectrical Electrical characterization of dielectrics
Green
  +  
ICL diesaw PackagingPhysical Wafer dicing saw
Red
  +  
ICL diesaw-3240 PackagingPhysical Wafer dicing saw
Red
  +  
ICL GnP WetOther Chemical Mechanical Polishing to planarize surfaces
Red &Green
  +  
ICL goldwire PackagingPhysical Gold ball bonder for device packaging
Red
  +  
ICL nitrEtch-HotPhos WetAcids Hot phosphoric nitride etch bath
Green
  +  
ICL oxEtch-BOE WetAcids Silicon dioxide etch bath
Green
  +  
ICL P10 MetrologyProfile Stylus profilerometer
Green
  +  
ICL premetal-Piranha WetAcids Piranha resist removal and cleaning station
Green
  +  
ICL rca-ICL DiffusionClean Wafer cleaning before diffusion tubes
Green
  +  
ICL semZeiss MetrologySEM Scanning Electron Microscope
Red &Green
  +  
ICL SM-300 MetrologyThickness Thickness measurement for CMP processing
Red &Green
  +  
ICL TMAH-KOHhood WetAcids Silicon bulk wet etching
Red &Green
  +  
ICL VTR DiffusionCVD Low stress silicon nitride deposition
Green
  +  
ICL wykoICL MetrologyProfile Optical profiling system
Green
  +  
TRL A1-GateOx DiffusionOxidation Thermal Oxidation for Green Silicon Wafers
Green
  +  
TRL A2-WetOxBond DiffusionOxidation Thermal Oxidation and other thermal process for GREEN Si wafers
Green
  +  
TRL A3-Sinter DiffusionBake Sintering for GREEN Si wafers
Green
  +  
TRL A4-III-Vanneal DiffusionBake Annealing for GREEN III-V samples
Red
  +  
TRL acid-hood WetAcids Acid processing station
Red &Green
  +  
TRL AJA-TRL DepositionSputter Sputter deposition tool
Red
  +  
TRL asher-TRL PhotoClean Barrel asher for resist removal
Red &Green
  +  
TRL B1-Au DiffusionOxidation Gold compatible anneal tube
Red
  +  
TRL B2-Ox-alloy-Poly DiffusionOxidation Thermal Oxidation and LPCVD Polysilicon for RED wafers
Green
  +  
TRL B3-DryOx DiffusionOxidation Thermal Oxidation
Green
  +  
TRL B4-Poly DiffusionCVD LPCVD polysilicon for Green wafers
Green
  +  
TRL Balzer-Elionix DepositionSputter SEM or EBL sample preparation
Red
  +  
TRL CCNT DepositionPECVD Carbon nanotube growth
Red
  +  
TRL coater PhotoCoat Manual spin-coater for photoresists
Red &Green
  +  
TRL dek-NoAu MetrologyProfile Stylus Profilerometer
Green
  +  
TRL dektak-XT MetrologyProfile Stylus Profilerometer
Red
  +  
TRL develop-Brewer PhotoCoat Resist develop and postbake
Red &Green
  +  
TRL eBeamAu DepositionEvaporate Metal evaporator
Red
  +  
TRL eBeamFP DepositionEvaporate Fast pumping metal evaporator
Red
  +  
TRL ellipsometer-TRL MetrologyThickness Thin film thickness measurement
Red &Green
  +  
TRL EV1 PhotoExpose Contact mask aligner
Red &Green
  +  
TRL EV501 PhotoBond Bonder system to apply heat, vacuum and pressure
Red &Green
  +  
TRL EV620 PhotoBond Aligner for bonding
Red &Green
  +  
TRL EV-LC PhotoExpose Contact mask aligner
Red &Green
  +  
TRL Filmetrics-TRL MetrologyThickness Thin film thickness measurement
Red &Green
  +  
TRL FLX MetrologyProfile Thin film stress measurement
Red &Green
  +  
TRL Greenflo WetAcids Acid processing station
Red &Green
  +  
TRL Hall-probe MetrologyElectrical Carrier measurement
Red
  +  
TRL Heidelberg PhotoExpose Laser direct-write exposure for wafers and masks
Red &Green
  +  
TRL HMDS-TRL PhotoBake HMDS oven
Red &Green
  +  
TRL IV-probe MetrologyElectrical Probe station with curve tracer for IV measurement
Red
  +  
TRL MA-6 PhotoExpose Contact mask aligner
Red &Green
  +  
TRL MLA-150 PhotoExpose Direct-write lithography for wafers and larger pieces
Red &Green
  +  
TRL nanospec MetrologyThickness Thin film thickness measurement
Red &Green
  +  
TRL OAI-Flood PhotoExpose Flood exposure for image reversal resists
Red &Green
  +  
TRL parylene DepositionCVD Parylene depopsition
Red
  +  
TRL photo-wet-Au WetSolvents Solvent fume hood with sonicator
Red &Green
  +  
TRL photo-wet-l WetSolvents Wetbench for photoresist development
Red &Green
  +  
TRL photo-wet-r WetSolvents Wetbench for photoresist development
Red &Green
  +  
TRL PMMAspinner PhotoCoat Manual coater for PMMA and other photoresists
Red &Green
  +  
TRL postbake PhotoBake Bake oven 120C
Red &Green
  +  
TRL prebakeovn PhotoBake Bake oven 90C
Red &Green
  +  
TRL PZTcoater DepositionSpin-Coat Coater to apply PZT films
Red
  +  
TRL PZTfurnace DepositionBake Bake oven for PZT coated wafers
Red
  +  
TRL rca-TRL DiffusionClean Wafer cleaning before diffusion tubes
Green
  +  
TRL Resonetics PhotoExpose Laser ablation system
Red
  +  
TRL RTA-HiT DiffusionBake Rapid Thermal Annealing
Red
  +  
TRL SolventHood-TRL WetSolvents Solvent fume hood
Red &Green
  +  
TRL sts1 EtchDRIE Deep reactive ion etcher for silicon
Red
  +  
TRL SU8spinner PhotoCoat Manual spin-coater for SU8 resists
Purple
  +  
TRL TBM-8 PhotoBond Front-to-back alignement measurement
Red &Green
  +  
TRL UVozone-Au EtchClean Cleans residual organics
Red
  +  
TRL WYKO MetrologyProfile Optical profiling system
Red &Green
  +  
TRL XeF2 EtchRIE XeF2 isotropic etching of silicon
Red
  +  
LEAP AutoBonder PackagingPhysical Automated wirebonder
Red
  +  
LEAP BallBonder PackagingPhysical Manual ball bonder
Red
  +  
LEAP WedgeBonder PackagingPhysical Manual wedge bonder
Red
  +  
LEAP X-rayInspection PackagingPhysical X-Ray imaging
Red
  +  
LEAP DieBonder PackagingPhysical Automated pick and place
Red
  +  
LEAP PlasmaCleaner PackagingClean Plasma parts cleaning
Red
  +  
LEAP ReflowOven PackagingBake Reflow oven
Red
  +  
LEAP QuickVisionMicroscope PackagingPhysical Automated imaging microscope
Red